{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": true, "slideshow": { "slide_type": "slide" } }, "source": [ "\n", "# 第二章 数据科学的编程工具\n", "Python使用简介\n", "\n", "\n", "王成军\n", "\n", "![](./images/author.png)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## 人生苦短,我用Python。\n", "\n", "Python(/ˈpaɪθən/)是一种面向对象、解释型计算机程序设计语言\n", "- 由Guido van Rossum于1989年底发明\n", "- 第一个公开发行版发行于1991年\n", "- Python语法简洁而清晰\n", "- 具有强大的标准库和丰富的第三方模块\n", "- 它常被昵称为胶水语言\n", "- TIOBE编程语言排行榜“2010年度编程语言”\n", "\n" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## 特点\n", "- 免费、功能强大、使用者众多\n", "- 与R和MATLAB相比,Python是一门更易学、更严谨的程序设计语言。使用Python编写的脚本更易于理解和维护。\n", "- 如同其它编程语言一样,Python语言的基础知识包括:类型、列表(list)和元组(tuple)、字典(dictionary)、条件、循环、异常处理等。\n", "- 关于这些,初阶读者可以阅读《Beginning Python》一书(Hetland, 2005)。\n" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Python中包含了丰富的类库。\n", "众多开源的科学计算软件包都提供了Python的调用接口,例如著名的计算机视觉库OpenCV。\n", "Python本身的科学计算类库发展也十分完善,例如NumPy、SciPy和matplotlib等。\n", "就社会网络分析而言,igraph, networkx, graph-tool, Snap.py等类库提供了丰富的网络分析工具" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Python软件与IDE\n", "目前最新的Python版本为3.0,更稳定的2.7版本。\n", "编译器是编写程序的重要工具。\n", "免费的Python编译器有Spyder、PyCharm(免费社区版)、Ipython、Vim、 Emacs、 Eclipse(加上PyDev插件)。\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true, "slideshow": { "slide_type": "slide" } }, "source": [ "## Installing Anaconda Python\n", "- Use the Anaconda Python\n", " - http://anaconda.com/" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## 第三方包可以使用pip install的方法安装。\n", "- 可以点击ToolsOpen command prompt\n", "- 然后在打开的命令窗口中输入:\n", " - pip install beautifulsoup4 \n" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "> pip install beautifulsoup4" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "subslide" } }, "source": [ "- NumPy /SciPy for scientific computing\n", "- pandas to make Python usable for data analysis\n", "- matplotlib to make graphics\n", "- scikit-learn for machine learning\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:26:43.144421Z", "start_time": "2021-05-14T03:26:38.835635Z" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Requirement already satisfied: flownetwork in /opt/anaconda3/lib/python3.7/site-packages (3.1.0)\n", "Requirement already satisfied: peppercorn in /opt/anaconda3/lib/python3.7/site-packages (from flownetwork) (0.6)\n", "Note: you may need to restart the kernel to use updated packages.\n" ] } ], "source": [ "pip install flownetwork" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:27:30.848668Z", "start_time": "2021-05-14T03:27:27.830316Z" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "$version = py3.0.1$\n" ] } ], "source": [ "from flownetwork import flownetwork as fn\n", "import networkx as nx\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "\n", "print(fn.__version__)\n" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:27:44.448648Z", "start_time": "2021-05-14T03:27:44.444849Z" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Help on function constructFlowNetwork in module flownetwork.flownetwork:\n", "\n", "constructFlowNetwork(C)\n", " C is an array of two dimentions, e.g., \n", " C = np.array([[user1, item1], \n", " [user1, item2], \n", " [user2, item1], \n", " [user2, item3]])\n", " Return a balanced flow network\n", "\n" ] } ], "source": [ "help(fn.constructFlowNetwork)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:28:15.798546Z", "start_time": "2021-05-14T03:28:15.795725Z" } }, "outputs": [], "source": [ "# constructing a flow network\n", "demo = fn.attention_data\n", "gd = fn.constructFlowNetwork(demo)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:28:23.892117Z", "start_time": "2021-05-14T03:28:23.593815Z" }, "code_folding": [] }, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# drawing a demo network\n", "fig = plt.figure(figsize=(12, 8),facecolor='white')\n", "pos={0: np.array([ 0.2 , 0.8]),\n", " 2: np.array([ 0.2, 0.2]),\n", " 1: np.array([ 0.4, 0.6]),\n", " 6: np.array([ 0.4, 0.4]),\n", " 4: np.array([ 0.7, 0.8]),\n", " 5: np.array([ 0.7, 0.5]),\n", " 3: np.array([ 0.7, 0.2 ]),\n", " 'sink': np.array([ 1, 0.5]),\n", " 'source': np.array([ 0, 0.5])}\n", "\n", "width=[float(d['weight']*1.2) for (u,v,d) in gd.edges(data=True)]\n", "edge_labels=dict([((u,v,),d['weight']) for u,v,d in gd.edges(data=True)])\n", "\n", "nx.draw_networkx_edge_labels(gd,pos,edge_labels=edge_labels, font_size = 15, alpha = .5)\n", "nx.draw(gd, pos, node_size = 3000, node_color = 'orange',\n", " alpha = 0.2, width = width, edge_color='orange',style='solid')\n", "nx.draw_networkx_labels(gd,pos,font_size=18)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:29:13.581294Z", "start_time": "2021-05-14T03:29:13.577521Z" } }, "outputs": [ { "data": { "text/plain": [ "'Name: \\nType: DiGraph\\nNumber of nodes: 9\\nNumber of edges: 15\\nAverage in degree: 1.6667\\nAverage out degree: 1.6667'" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "nx.info(gd)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:29:21.862691Z", "start_time": "2021-05-14T03:29:21.857635Z" } }, "outputs": [ { "data": { "text/plain": [ "matrix([[0., 5., 1., 0., 2., 1., 0., 0., 0.],\n", " [0., 0., 0., 0., 1., 0., 0., 3., 1.],\n", " [0., 0., 0., 1., 0., 0., 0., 0., 0.],\n", " [0., 0., 0., 0., 0., 0., 0., 0., 0.],\n", " [0., 0., 0., 0., 0., 3., 0., 0., 0.],\n", " [0., 0., 0., 2., 0., 0., 2., 0., 0.],\n", " [0., 0., 0., 2., 0., 0., 0., 0., 0.],\n", " [0., 0., 0., 2., 0., 0., 0., 0., 1.],\n", " [0., 0., 0., 2., 0., 0., 0., 0., 0.]])" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# flow matrix\n", "m = fn.getFlowMatrix(gd)\n", "m" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:29:26.134764Z", "start_time": "2021-05-14T03:29:26.130022Z" } }, "outputs": [ { "data": { "text/plain": [ "defaultdict(.()>,\n", " {0: [0, 5, 5],\n", " 1: [0, 3, 2],\n", " 2: [2, 4, 1],\n", " 6: [1, 1, 1],\n", " 3: [2, 2, 0],\n", " 4: [2, 3, 0],\n", " 5: [2, 2, 0]})" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "fn.networkDissipate(gd)\n" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:30:19.089882Z", "start_time": "2021-05-14T03:30:19.086650Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "import random, datetime\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import matplotlib\n", "import statsmodels.api as sm\n", "from scipy.stats import norm\n", "from scipy.stats.stats import pearsonr" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:34:32.678365Z", "start_time": "2021-05-14T03:34:32.670475Z" } }, "outputs": [], "source": [ "with open('./data/the_republic_plato_gutenberg_pg1497.txt', 'r') as f:\n", " lines = f.readlines()" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:34:45.419000Z", "start_time": "2021-05-14T03:34:45.414822Z" } }, "outputs": [ { "data": { "text/plain": [ "24692" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(lines)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:34:53.866764Z", "start_time": "2021-05-14T03:34:53.863472Z" } }, "outputs": [ { "data": { "text/plain": [ "list" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(lines)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:45:53.310818Z", "start_time": "2021-05-14T03:45:53.306007Z" } }, "outputs": [ { "data": { "text/plain": [ "['\\n',\n", " '\\n',\n", " '\\n',\n", " '\\n',\n", " 'BOOK I.\\n',\n", " '\\n',\n", " 'I went down yesterday to the Piraeus with Glaucon the son of Ariston,\\n',\n", " 'that I might offer up my prayers to the goddess (Bendis, the Thracian\\n',\n", " 'Artemis.); and also because I wanted to see in what manner they would\\n',\n", " 'celebrate the festival, which was a new thing. I was delighted with the\\n']" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "lines[8520:8530]" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Variable Type\n" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:49:21.862606Z", "start_time": "2021-05-14T03:49:21.859090Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "'3'" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# str, int, float, bool\n", "str(3)" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:49:36.965025Z", "start_time": "2021-05-14T03:49:36.961704Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "'chengjun wang'" ] }, "execution_count": 28, "metadata": {}, "output_type": "execute_result" } ], "source": [ "\"chengjun wang\"" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:49:41.341000Z", "start_time": "2021-05-14T03:49:41.337258Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "5" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# int\n", "int('5') " ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:50:18.235094Z", "start_time": "2021-05-14T03:50:18.231377Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "7.1" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# float\n", "float(str(7.1))" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:50:31.940144Z", "start_time": "2021-05-14T03:50:31.936700Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "range(0, 10)" ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "range(10)" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:50:41.810207Z", "start_time": "2021-05-14T03:50:41.806428Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "range(1, 10)" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# for i in range(1, 10):\n", "# print(i)\n", "\n", "range(1,10) " ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## dir & help\n", "\n", "当你想要了解对象的详细信息时使用" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "ExecuteTime": { "end_time": "2020-09-22T01:11:24.391964Z", "start_time": "2020-09-22T01:11:24.387545Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "['__add__',\n", " '__class__',\n", " '__contains__',\n", " '__delattr__',\n", " '__dir__',\n", " '__doc__',\n", " '__eq__',\n", " '__format__',\n", " '__ge__',\n", " '__getattribute__',\n", " '__getitem__',\n", " '__getnewargs__',\n", " '__gt__',\n", " '__hash__',\n", " '__init__',\n", " '__init_subclass__',\n", " '__iter__',\n", " '__le__',\n", " '__len__',\n", " '__lt__',\n", " '__mod__',\n", " '__mul__',\n", " '__ne__',\n", " '__new__',\n", " '__reduce__',\n", " '__reduce_ex__',\n", " '__repr__',\n", " '__rmod__',\n", " '__rmul__',\n", " '__setattr__',\n", " '__sizeof__',\n", " '__str__',\n", " '__subclasshook__',\n", " 'capitalize',\n", " 'casefold',\n", " 'center',\n", " 'count',\n", " 'encode',\n", " 'endswith',\n", " 'expandtabs',\n", " 'find',\n", " 'format',\n", " 'format_map',\n", " 'index',\n", " 'isalnum',\n", " 'isalpha',\n", " 'isascii',\n", " 'isdecimal',\n", " 'isdigit',\n", " 'isidentifier',\n", " 'islower',\n", " 'isnumeric',\n", " 'isprintable',\n", " 'isspace',\n", " 'istitle',\n", " 'isupper',\n", " 'join',\n", " 'ljust',\n", " 'lower',\n", " 'lstrip',\n", " 'maketrans',\n", " 'partition',\n", " 'replace',\n", " 'rfind',\n", " 'rindex',\n", " 'rjust',\n", " 'rpartition',\n", " 'rsplit',\n", " 'rstrip',\n", " 'split',\n", " 'splitlines',\n", " 'startswith',\n", " 'strip',\n", " 'swapcase',\n", " 'title',\n", " 'translate',\n", " 'upper',\n", " 'zfill']" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dir(str) " ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "ExecuteTime": { "end_time": "2020-09-22T01:19:18.203635Z", "start_time": "2020-09-22T01:19:18.199931Z" } }, "outputs": [ { "data": { "text/plain": [ "'Cheng'" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "'cheng'.capitalize()" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "ExecuteTime": { "end_time": "2018-04-27T06:21:19.950231Z", "start_time": "2018-04-27T06:21:19.945950Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "['rstrip',\n", " 'split',\n", " 'splitlines',\n", " 'startswith',\n", " 'strip',\n", " 'swapcase',\n", " 'title',\n", " 'translate',\n", " 'upper',\n", " 'zfill']" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dir(str)[-10:]" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:11:55.262050Z", "start_time": "2021-05-14T06:11:55.235947Z" }, "scrolled": false, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Help on class str in module builtins:\n", "\n", "class str(object)\n", " | str(object='') -> str\n", " | str(bytes_or_buffer[, encoding[, errors]]) -> str\n", " | \n", " | Create a new string object from the given object. If encoding or\n", " | errors is specified, then the object must expose a data buffer\n", " | that will be decoded using the given encoding and error handler.\n", " | Otherwise, returns the result of object.__str__() (if defined)\n", " | or repr(object).\n", " | encoding defaults to sys.getdefaultencoding().\n", " | errors defaults to 'strict'.\n", " | \n", " | Methods defined here:\n", " | \n", " | __add__(self, value, /)\n", " | Return self+value.\n", " | \n", " | __contains__(self, key, /)\n", " | Return key in self.\n", " | \n", " | __eq__(self, value, /)\n", " | Return self==value.\n", " | \n", " | __format__(self, format_spec, /)\n", " | Return a formatted version of the string as described by format_spec.\n", " | \n", " | __ge__(self, value, /)\n", " | Return self>=value.\n", " | \n", " | __getattribute__(self, name, /)\n", " | Return getattr(self, name).\n", " | \n", " | __getitem__(self, key, /)\n", " | Return self[key].\n", " | \n", " | __getnewargs__(...)\n", " | \n", " | __gt__(self, value, /)\n", " | Return self>value.\n", " | \n", " | __hash__(self, /)\n", " | Return hash(self).\n", " | \n", " | __iter__(self, /)\n", " | Implement iter(self).\n", " | \n", " | __le__(self, value, /)\n", " | Return self<=value.\n", " | \n", " | __len__(self, /)\n", " | Return len(self).\n", " | \n", " | __lt__(self, value, /)\n", " | Return self int\n", " | \n", " | Return the number of non-overlapping occurrences of substring sub in\n", " | string S[start:end]. Optional arguments start and end are\n", " | interpreted as in slice notation.\n", " | \n", " | encode(self, /, encoding='utf-8', errors='strict')\n", " | Encode the string using the codec registered for encoding.\n", " | \n", " | encoding\n", " | The encoding in which to encode the string.\n", " | errors\n", " | The error handling scheme to use for encoding errors.\n", " | The default is 'strict' meaning that encoding errors raise a\n", " | UnicodeEncodeError. Other possible values are 'ignore', 'replace' and\n", " | 'xmlcharrefreplace' as well as any other name registered with\n", " | codecs.register_error that can handle UnicodeEncodeErrors.\n", " | \n", " | endswith(...)\n", " | S.endswith(suffix[, start[, end]]) -> bool\n", " | \n", " | Return True if S ends with the specified suffix, False otherwise.\n", " | With optional start, test S beginning at that position.\n", " | With optional end, stop comparing S at that position.\n", " | suffix can also be a tuple of strings to try.\n", " | \n", " | expandtabs(self, /, tabsize=8)\n", " | Return a copy where all tab characters are expanded using spaces.\n", " | \n", " | If tabsize is not given, a tab size of 8 characters is assumed.\n", " | \n", " | find(...)\n", " | S.find(sub[, start[, end]]) -> int\n", " | \n", " | Return the lowest index in S where substring sub is found,\n", " | such that sub is contained within S[start:end]. Optional\n", " | arguments start and end are interpreted as in slice notation.\n", " | \n", " | Return -1 on failure.\n", " | \n", " | format(...)\n", " | S.format(*args, **kwargs) -> str\n", " | \n", " | Return a formatted version of S, using substitutions from args and kwargs.\n", " | The substitutions are identified by braces ('{' and '}').\n", " | \n", " | format_map(...)\n", " | S.format_map(mapping) -> str\n", " | \n", " | Return a formatted version of S, using substitutions from mapping.\n", " | The substitutions are identified by braces ('{' and '}').\n", " | \n", " | index(...)\n", " | S.index(sub[, start[, end]]) -> int\n", " | \n", " | Return the lowest index in S where substring sub is found, \n", " | such that sub is contained within S[start:end]. Optional\n", " | arguments start and end are interpreted as in slice notation.\n", " | \n", " | Raises ValueError when the substring is not found.\n", " | \n", " | isalnum(self, /)\n", " | Return True if the string is an alpha-numeric string, False otherwise.\n", " | \n", " | A string is alpha-numeric if all characters in the string are alpha-numeric and\n", " | there is at least one character in the string.\n", " | \n", " | isalpha(self, /)\n", " | Return True if the string is an alphabetic string, False otherwise.\n", " | \n", " | A string is alphabetic if all characters in the string are alphabetic and there\n", " | is at least one character in the string.\n", " | \n", " | isascii(self, /)\n", " | Return True if all characters in the string are ASCII, False otherwise.\n", " | \n", " | ASCII characters have code points in the range U+0000-U+007F.\n", " | Empty string is ASCII too.\n", " | \n", " | isdecimal(self, /)\n", " | Return True if the string is a decimal string, False otherwise.\n", " | \n", " | A string is a decimal string if all characters in the string are decimal and\n", " | there is at least one character in the string.\n", " | \n", " | isdigit(self, /)\n", " | Return True if the string is a digit string, False otherwise.\n", " | \n", " | A string is a digit string if all characters in the string are digits and there\n", " | is at least one character in the string.\n", " | \n", " | isidentifier(self, /)\n", " | Return True if the string is a valid Python identifier, False otherwise.\n", " | \n", " | Use keyword.iskeyword() to test for reserved identifiers such as \"def\" and\n", " | \"class\".\n", " | \n", " | islower(self, /)\n", " | Return True if the string is a lowercase string, False otherwise.\n", " | \n", " | A string is lowercase if all cased characters in the string are lowercase and\n", " | there is at least one cased character in the string.\n", " | \n", " | isnumeric(self, /)\n", " | Return True if the string is a numeric string, False otherwise.\n", " | \n", " | A string is numeric if all characters in the string are numeric and there is at\n", " | least one character in the string.\n", " | \n", " | isprintable(self, /)\n", " | Return True if the string is printable, False otherwise.\n", " | \n", " | A string is printable if all of its characters are considered printable in\n", " | repr() or if it is empty.\n", " | \n", " | isspace(self, /)\n", " | Return True if the string is a whitespace string, False otherwise.\n", " | \n", " | A string is whitespace if all characters in the string are whitespace and there\n", " | is at least one character in the string.\n", " | \n", " | istitle(self, /)\n", " | Return True if the string is a title-cased string, False otherwise.\n", " | \n", " | In a title-cased string, upper- and title-case characters may only\n", " | follow uncased characters and lowercase characters only cased ones.\n", " | \n", " | isupper(self, /)\n", " | Return True if the string is an uppercase string, False otherwise.\n", " | \n", " | A string is uppercase if all cased characters in the string are uppercase and\n", " | there is at least one cased character in the string.\n", " | \n", " | join(self, iterable, /)\n", " | Concatenate any number of strings.\n", " | \n", " | The string whose method is called is inserted in between each given string.\n", " | The result is returned as a new string.\n", " | \n", " | Example: '.'.join(['ab', 'pq', 'rs']) -> 'ab.pq.rs'\n", " | \n", " | ljust(self, width, fillchar=' ', /)\n", " | Return a left-justified string of length width.\n", " | \n", " | Padding is done using the specified fill character (default is a space).\n", " | \n", " | lower(self, /)\n", " | Return a copy of the string converted to lowercase.\n", " | \n", " | lstrip(self, chars=None, /)\n", " | Return a copy of the string with leading whitespace removed.\n", " | \n", " | If chars is given and not None, remove characters in chars instead.\n", " | \n", " | partition(self, sep, /)\n", " | Partition the string into three parts using the given separator.\n", " | \n", " | This will search for the separator in the string. If the separator is found,\n", " | returns a 3-tuple containing the part before the separator, the separator\n", " | itself, and the part after it.\n", " | \n", " | If the separator is not found, returns a 3-tuple containing the original string\n", " | and two empty strings.\n", " | \n", " | replace(self, old, new, count=-1, /)\n", " | Return a copy with all occurrences of substring old replaced by new.\n", " | \n", " | count\n", " | Maximum number of occurrences to replace.\n", " | -1 (the default value) means replace all occurrences.\n", " | \n", " | If the optional argument count is given, only the first count occurrences are\n", " | replaced.\n", " | \n", " | rfind(...)\n", " | S.rfind(sub[, start[, end]]) -> int\n", " | \n", " | Return the highest index in S where substring sub is found,\n", " | such that sub is contained within S[start:end]. Optional\n", " | arguments start and end are interpreted as in slice notation.\n", " | \n", " | Return -1 on failure.\n", " | \n", " | rindex(...)\n", " | S.rindex(sub[, start[, end]]) -> int\n", " | \n", " | Return the highest index in S where substring sub is found,\n", " | such that sub is contained within S[start:end]. Optional\n", " | arguments start and end are interpreted as in slice notation.\n", " | \n", " | Raises ValueError when the substring is not found.\n", " | \n", " | rjust(self, width, fillchar=' ', /)\n", " | Return a right-justified string of length width.\n", " | \n", " | Padding is done using the specified fill character (default is a space).\n", " | \n", " | rpartition(self, sep, /)\n", " | Partition the string into three parts using the given separator.\n", " | \n", " | This will search for the separator in the string, starting at the end. If\n", " | the separator is found, returns a 3-tuple containing the part before the\n", " | separator, the separator itself, and the part after it.\n", " | \n", " | If the separator is not found, returns a 3-tuple containing two empty strings\n", " | and the original string.\n", " | \n", " | rsplit(self, /, sep=None, maxsplit=-1)\n", " | Return a list of the words in the string, using sep as the delimiter string.\n", " | \n", " | sep\n", " | The delimiter according which to split the string.\n", " | None (the default value) means split according to any whitespace,\n", " | and discard empty strings from the result.\n", " | maxsplit\n", " | Maximum number of splits to do.\n", " | -1 (the default value) means no limit.\n", " | \n", " | Splits are done starting at the end of the string and working to the front.\n", " | \n", " | rstrip(self, chars=None, /)\n", " | Return a copy of the string with trailing whitespace removed.\n", " | \n", " | If chars is given and not None, remove characters in chars instead.\n", " | \n", " | split(self, /, sep=None, maxsplit=-1)\n", " | Return a list of the words in the string, using sep as the delimiter string.\n", " | \n", " | sep\n", " | The delimiter according which to split the string.\n", " | None (the default value) means split according to any whitespace,\n", " | and discard empty strings from the result.\n", " | maxsplit\n", " | Maximum number of splits to do.\n", " | -1 (the default value) means no limit.\n", " | \n", " | splitlines(self, /, keepends=False)\n", " | Return a list of the lines in the string, breaking at line boundaries.\n", " | \n", " | Line breaks are not included in the resulting list unless keepends is given and\n", " | true.\n", " | \n", " | startswith(...)\n", " | S.startswith(prefix[, start[, end]]) -> bool\n", " | \n", " | Return True if S starts with the specified prefix, False otherwise.\n", " | With optional start, test S beginning at that position.\n", " | With optional end, stop comparing S at that position.\n", " | prefix can also be a tuple of strings to try.\n", " | \n", " | strip(self, chars=None, /)\n", " | Return a copy of the string with leading and trailing whitespace removed.\n", " | \n", " | If chars is given and not None, remove characters in chars instead.\n", " | \n", " | swapcase(self, /)\n", " | Convert uppercase characters to lowercase and lowercase characters to uppercase.\n", " | \n", " | title(self, /)\n", " | Return a version of the string where each word is titlecased.\n", " | \n", " | More specifically, words start with uppercased characters and all remaining\n", " | cased characters have lower case.\n", " | \n", " | translate(self, table, /)\n", " | Replace each character in the string using the given translation table.\n", " | \n", " | table\n", " | Translation table, which must be a mapping of Unicode ordinals to\n", " | Unicode ordinals, strings, or None.\n", " | \n", " | The table must implement lookup/indexing via __getitem__, for instance a\n", " | dictionary or list. If this operation raises LookupError, the character is\n", " | left untouched. Characters mapped to None are deleted.\n", " | \n", " | upper(self, /)\n", " | Return a copy of the string converted to uppercase.\n", " | \n", " | zfill(self, width, /)\n", " | Pad a numeric string with zeros on the left, to fill a field of the given width.\n", " | \n", " | The string is never truncated.\n", " | \n", " | ----------------------------------------------------------------------\n", " | Static methods defined here:\n", " | \n", " | __new__(*args, **kwargs) from builtins.type\n", " | Create and return a new object. See help(type) for accurate signature.\n", " | \n", " | maketrans(x, y=None, z=None, /)\n", " | Return a translation table usable for str.translate().\n", " | \n", " | If there is only one argument, it must be a dictionary mapping Unicode\n", " | ordinals (integers) or characters to Unicode ordinals, strings or None.\n", " | Character keys will be then converted to ordinals.\n", " | If there are two arguments, they must be strings of equal length, and\n", " | in the resulting dictionary, each character in x will be mapped to the\n", " | character at the same position in y. If there is a third argument, it\n", " | must be a string, whose characters will be mapped to None in the result.\n", "\n" ] } ], "source": [ "help(str)" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:55:38.921530Z", "start_time": "2021-05-14T03:55:38.917817Z" } }, "outputs": [ { "data": { "text/plain": [ "True" ] }, "execution_count": 45, "metadata": {}, "output_type": "execute_result" } ], "source": [ "' '.isspace()" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:53:49.045706Z", "start_time": "2021-05-14T03:53:49.042142Z" } }, "outputs": [ { "data": { "text/plain": [ "'socrates the king is the greatest.'" ] }, "execution_count": 35, "metadata": {}, "output_type": "execute_result" } ], "source": [ "'socrates the king'.__add__(' is the greatest.')" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:59:12.870300Z", "start_time": "2021-05-14T03:59:12.865426Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "['rstrip',\n", " 'split',\n", " 'splitlines',\n", " 'startswith',\n", " 'strip',\n", " 'swapcase',\n", " 'title',\n", " 'translate',\n", " 'upper',\n", " 'zfill']" ] }, "execution_count": 46, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x = ' Hello WorlD '\n", "dir(x)[-10:] " ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:59:15.825497Z", "start_time": "2021-05-14T03:59:15.822225Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "' hello world '" ] }, "execution_count": 47, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# lower\n", "x.lower() " ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:59:24.028262Z", "start_time": "2021-05-14T03:59:24.024581Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "' HELLO WORLD '" ] }, "execution_count": 49, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# upper\n", "x.upper()" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:59:30.148025Z", "start_time": "2021-05-14T03:59:30.144262Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "'Hello WorlD '" ] }, "execution_count": 50, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# rstrip\n", "x.lstrip()" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "ExecuteTime": { "end_time": "2020-09-22T01:22:38.713347Z", "start_time": "2020-09-22T01:22:38.709491Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "'Hello WorlD'" ] }, "execution_count": 48, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# strip\n", "x.strip()" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:59:44.103945Z", "start_time": "2021-05-14T03:59:44.100337Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "' Hel WorlD '" ] }, "execution_count": 51, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# replace\n", "x.replace('lo', '')" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T03:59:50.859699Z", "start_time": "2021-05-14T03:59:50.855971Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "[' Hel', ' WorlD ']" ] }, "execution_count": 52, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# split\n", "x.split('lo')" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T04:00:00.310907Z", "start_time": "2021-05-14T04:00:00.307439Z" } }, "outputs": [ { "data": { "text/plain": [ "'a,b'" ] }, "execution_count": 53, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# join \n", "','.join(['a', 'b'])" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## type\n", "当你想要了解变量类型时使用type" ] }, { "cell_type": "code", "execution_count": 59, "metadata": { "ExecuteTime": { "end_time": "2020-09-22T01:24:35.607702Z", "start_time": "2020-09-22T01:24:35.604262Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "str" ] }, "execution_count": 59, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x = 'hello world'\n", "type(x)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Data Structure\n", "list, tuple, set, dictionary, array\n" ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:05:22.880431Z", "start_time": "2021-05-14T06:05:22.874747Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1, 2, 3, 3] (1, 2, 3, 3) {1, 2, 3} {'a': 1, 'b': 2, 'c': 3} [1 2 3 3]\n" ] } ], "source": [ "l = [1,2,3,3] # list\n", "t = (1, 2, 3, 3) # tuple\n", "s = {1, 2, 3, 3} # set([1,2,3,3]) # set\n", "d = {'a':1,'b':2,'c':3} # dict\n", "a = np.array(l) # array\n", "print(l, t, s, d, a)\n" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "ExecuteTime": { "end_time": "2020-09-22T01:31:11.127410Z", "start_time": "2020-09-22T01:31:11.123452Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "[1, 2, 3, 3, 4]" ] }, "execution_count": 60, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l = [1,2,3,3] # list\n", "l.append(4)\n", "l" ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:06:53.847121Z", "start_time": "2021-05-14T06:06:53.843194Z" }, "slideshow": { "slide_type": "slide" } }, "outputs": [ { "data": { "text/plain": [ "dict_keys(['a', 'b', 'c'])" ] }, "execution_count": 57, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d = {'a':1,'b':2,'c':3} # dict\n", "d.keys()" ] }, { "cell_type": "code", "execution_count": 58, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:06:59.161625Z", "start_time": "2021-05-14T06:06:59.157718Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "dict_values([1, 2, 3])" ] }, "execution_count": 58, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d = {'a':1,'b':2,'c':3} # dict\n", "d.values()" ] }, { "cell_type": "code", "execution_count": 59, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:07:25.993162Z", "start_time": "2021-05-14T06:07:25.988921Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "2" ] }, "execution_count": 59, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d = {'a':1,'b':2,'c':3} # dict\n", "d['b']" ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "ExecuteTime": { "end_time": "2020-09-22T01:32:27.607384Z", "start_time": "2020-09-22T01:32:27.603091Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "dict_items([('a', 1), ('b', 2), ('c', 3)])" ] }, "execution_count": 64, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d = {'a':1,'b':2,'c':3} # dict\n", "d.items() " ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## 定义函数" ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:15:49.478939Z", "start_time": "2021-05-14T06:15:49.476320Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [], "source": [ "def devidePlus(m, n): # 结尾是冒号\n", " y = m/n + 1 # 注意:空格\n", " return y # 注意:return" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## For 循环" ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:16:03.929223Z", "start_time": "2021-05-14T06:16:03.925842Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "range(0, 10)" ] }, "execution_count": 65, "metadata": {}, "output_type": "execute_result" } ], "source": [ "range(10)" ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:16:12.065379Z", "start_time": "2021-05-14T06:16:12.061598Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "range(1, 10)" ] }, "execution_count": 66, "metadata": {}, "output_type": "execute_result" } ], "source": [ "range(1, 10) " ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:16:25.378463Z", "start_time": "2021-05-14T06:16:25.373009Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0 0 0\n", "1 10 1\n", "2 20 4\n", "3 30 9\n", "4 40 16\n", "5 50 25\n", "6 60 36\n", "7 70 49\n", "8 80 64\n", "9 90 81\n" ] } ], "source": [ "for i in range(10):\n", " print(i, i*10, i**2)" ] }, { "cell_type": "code", "execution_count": 68, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:16:29.065853Z", "start_time": "2021-05-14T06:16:29.061400Z" }, "code_folding": [ 0 ], "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "10\n", "20\n", "30\n", "40\n", "50\n", "60\n", "70\n", "80\n", "90\n" ] } ], "source": [ "for i in range(10):\n", " print(i*10) " ] }, { "cell_type": "code", "execution_count": 73, "metadata": { "ExecuteTime": { "end_time": "2020-09-22T01:38:25.096618Z", "start_time": "2020-09-22T01:38:25.092487Z" }, "scrolled": true, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1.0\n", "1.5\n", "2.0\n", "2.5\n", "3.0\n", "3.5\n", "4.0\n", "4.5\n", "5.0\n", "5.5\n" ] } ], "source": [ "for i in range(10):\n", " print(devidePlus(i, 2))" ] }, { "cell_type": "code", "execution_count": 71, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:19:10.484026Z", "start_time": "2021-05-14T06:19:10.479691Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "[1.0, 1.5, 2.0, 2.5, 3.0, 3.5, 4.0, 4.5, 5.0, 5.5]" ] }, "execution_count": 71, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# 列表内部的for循环\n", "r = [devidePlus(i, 2) for i in range(10)]\n", "r " ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## map函数" ] }, { "cell_type": "code", "execution_count": 74, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:20:40.810819Z", "start_time": "2021-05-14T06:20:40.806477Z" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "32.0 72.5 104.0 212.0\n" ] } ], "source": [ "def fahrenheit(T):\n", " return ((float(9)/5)*T + 32)\n", "\n", "temp = [0, 22.5, 40,100]\n", "F_temps = map(fahrenheit, temp)\n", "\n", "print(*F_temps)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T07:59:39.584810Z", "start_time": "2019-06-07T07:59:39.579618Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "3.0 4.0 1.4\n" ] } ], "source": [ "m1 = map(devidePlus, [4,3,2], [2, 1, 5])\n", "print(*m1)\n", "#print(*map(devidePlus, [4,3,2], [2, 1, 5]))\n", "# 注意: 将(4, 2)作为一个组合进行计算,将(3, 1)作为一个组合进行计算" ] }, { "cell_type": "code", "execution_count": 75, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:22:49.133689Z", "start_time": "2021-05-14T06:22:49.129613Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "3 7 11 15 19\n" ] } ], "source": [ "m2 = map(lambda x, y: x + y, [1, 3, 5, 7, 9], [2, 4, 6, 8, 10])\n", "print(*m2)" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "ExecuteTime": { "end_time": "2020-09-22T01:41:22.820936Z", "start_time": "2020-09-22T01:41:22.816574Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0 4 9 13 14\n" ] } ], "source": [ "m3 = map(lambda x, y, z: x + y - z, [1, 3, 5, 7, 9], [2, 4, 6, 8, 10], [3, 3, 2, 2, 5])\n", "print(*m3)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## if elif else" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "ExecuteTime": { "end_time": "2018-04-27T06:40:35.103035Z", "start_time": "2018-04-27T06:40:35.097057Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "余数是1\n" ] } ], "source": [ "j = 5\n", "if j%2 == 1:\n", " print(r'余数是1')\n", "elif j%2 ==0:\n", " print(r'余数是0')\n", "else:\n", " print(r'余数既不是1也不是0')" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:02:13.690924Z", "start_time": "2019-06-07T08:02:13.682806Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "5 0 10\n" ] } ], "source": [ "x = 5\n", "if x < 5:\n", " y = -1\n", " z = 5\n", "elif x > 5:\n", " y = 1\n", " z = 11\n", "else:\n", " y = 0\n", " z = 10\n", "print(x, y, z)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## while循环" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:03:12.473818Z", "start_time": "2019-06-07T08:03:12.469141Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n" ] } ], "source": [ "j = 0\n", "while j <10:\n", " print(j)\n", " j+=1 # avoid dead loop\n", " " ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "ExecuteTime": { "end_time": "2018-04-27T06:43:15.236750Z", "start_time": "2018-04-27T06:43:15.231493Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1\n", "9\n", "25\n", "49\n", "81\n" ] } ], "source": [ "j = 0\n", "while j <10:\n", " if j%2 != 0: \n", " print(j**2)\n", " j+=1 # avoid dead loop " ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "ExecuteTime": { "end_time": "2018-04-27T06:44:41.340319Z", "start_time": "2018-04-27T06:44:41.333449Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1\n", "9\n", "25\n", "49\n", "81\n", "121\n", "169\n", "225\n", "289\n", "361\n", "441\n", "529\n", "625\n", "729\n", "841\n" ] } ], "source": [ "j = 0\n", "while j <50:\n", " if j == 30:\n", " break\n", " if j%2 != 0: \n", " print(j**2)\n", " j+=1 # avoid dead loop\n", " " ] }, { "cell_type": "code", "execution_count": 77, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:32:59.789099Z", "start_time": "2021-05-14T06:32:59.785398Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "4\n", "3\n", "2\n", "1\n" ] } ], "source": [ "a = 4\n", "while a: # 0, None, False\n", " print(a) \n", " a -= 1\n", " if a < 0:\n", " a = None # []" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## try except " ] }, { "cell_type": "code", "execution_count": 80, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:38:19.884566Z", "start_time": "2021-05-14T06:38:19.880063Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "3.0\n", "0 division by zero\n", "1.8\n" ] } ], "source": [ "def devidePlus(m, n): # 结尾是冒号\n", " return m/n+ 1 # 注意:空格\n", "\n", "\n", "for i in [2, 0, 5]:\n", " try:\n", " print(devidePlus(4, i))\n", " except Exception as e:\n", " print(i, e)\n", " pass" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "ExecuteTime": { "end_time": "2018-04-27T06:52:32.471043Z", "start_time": "2018-04-27T06:52:32.463396Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "10.0\n", "10.0\n", "division by zero\n" ] } ], "source": [ "alist = [[1,1], [0, 0, 1]]\n", "for aa in alist:\n", " try:\n", " for a in aa:\n", " print(10 / a)\n", " except Exception as e:\n", " print(e)\n", " pass" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "ExecuteTime": { "end_time": "2018-04-27T06:52:20.430949Z", "start_time": "2018-04-27T06:52:20.423433Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "10.0\n", "10.0\n", "division by zero\n", "division by zero\n", "10.0\n" ] } ], "source": [ "alist = [[1,1], [0, 0, 1]]\n", "for aa in alist:\n", " for a in aa:\n", " try:\n", " print(10 / a)\n", " except Exception as e:\n", " print(e)\n", " pass" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## Write and Read data" ] }, { "cell_type": "code", "execution_count": 82, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:45:37.378528Z", "start_time": "2021-05-14T06:45:37.373805Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "[[0, 0, 0],\n", " [1, 1, 1],\n", " [2, 4, 8],\n", " [3, 9, 27],\n", " [4, 16, 64],\n", " [5, 25, 125],\n", " [6, 36, 216],\n", " [7, 49, 343],\n", " [8, 64, 512],\n", " [9, 81, 729]]" ] }, "execution_count": 82, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data =[[i, i**2, i**3] for i in range(10)] \n", "data" ] }, { "cell_type": "code", "execution_count": 83, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:45:37.926457Z", "start_time": "2021-05-14T06:45:37.922101Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\t0\t0\n", "1\t1\t1\n", "2\t4\t8\n", "3\t9\t27\n", "4\t16\t64\n", "5\t25\t125\n", "6\t36\t216\n", "7\t49\t343\n", "8\t64\t512\n", "9\t81\t729\n" ] } ], "source": [ "for i in data:\n", " print('\\t'.join(map(str, i))) " ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:45:40.413504Z", "start_time": "2021-05-14T06:45:40.409671Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "list" ] }, "execution_count": 84, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(data)" ] }, { "cell_type": "code", "execution_count": 85, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:45:43.901263Z", "start_time": "2021-05-14T06:45:43.897405Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "10" ] }, "execution_count": 85, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(data)" ] }, { "cell_type": "code", "execution_count": 86, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:45:45.508699Z", "start_time": "2021-05-14T06:45:45.504734Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "[0, 0, 0]" ] }, "execution_count": 86, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data[0]" ] }, { "cell_type": "code", "execution_count": 87, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:45:53.790335Z", "start_time": "2021-05-14T06:45:53.786988Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Help on built-in function write:\n", "\n", "write(text, /) method of _io.TextIOWrapper instance\n", " Write string to stream.\n", " Returns the number of characters written (which is always equal to\n", " the length of the string).\n", "\n" ] } ], "source": [ "help(f.write) " ] }, { "cell_type": "code", "execution_count": 88, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:47:52.384851Z", "start_time": "2021-05-14T06:47:52.359107Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "# 保存数据\n", "data =[[i, i**2, i**3] for i in range(10000)] \n", "\n", "f = open(\"data_write_to_file1.txt\", \"w\")\n", "for i in data:\n", " f.write('\\t'.join(map(str,i)) + '\\n')\n", "f.close()\n" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T06:58:58.363840Z", "start_time": "2021-05-14T06:58:58.357336Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "['0\\t0\\t0\\n', '1\\t1\\t1\\n', '2\\t4\\t8\\n', '3\\t9\\t27\\n', '4\\t16\\t64\\n']" ] }, "execution_count": 89, "metadata": {}, "output_type": "execute_result" } ], "source": [ "with open('data_write_to_file1.txt','r') as f:\n", " data = f.readlines()\n", "data[:5]" ] }, { "cell_type": "code", "execution_count": 91, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:00:39.802681Z", "start_time": "2021-05-14T07:00:39.798076Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "77" ] }, "execution_count": 91, "metadata": {}, "output_type": "execute_result" } ], "source": [ "with open('data_write_to_file1.txt','r') as f:\n", " data = f.readlines(1000) #bytes \n", "len(data) " ] }, { "cell_type": "code", "execution_count": 93, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:01:10.254322Z", "start_time": "2021-05-14T07:01:10.249892Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\t0\t0\n", "\n" ] } ], "source": [ "with open('data_write_to_file1.txt','r') as f:\n", " print(f.readline())" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:05:35.468788Z", "start_time": "2021-05-14T07:05:35.464494Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0 1\n", "1 2\n", "2 3\n", "3 4\n", "4 5\n" ] } ], "source": [ "f = [1, 2, 3, 4, 5]\n", "for k, i in enumerate(f):\n", " print(k, i)\n", "\n", "# with open('data_write_to_file1.txt', 'r') as f:\n", "# for i in f:\n", "# print(i)" ] }, { "cell_type": "code", "execution_count": 101, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:09:42.081128Z", "start_time": "2021-05-14T07:09:42.058482Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "1000\n", "2000\n", "3000\n", "4000\n", "5000\n", "6000\n", "7000\n", "8000\n", "9000\n", "2499833358330000\n" ] } ], "source": [ "total = 0\n", "with open('data_write_to_file1.txt','r') as f:\n", " for k, i in enumerate(f):\n", " if k % 1000 ==0:\n", " print(k)\n", " total += sum([int(j) for j in i.strip().split('\\t')])\n", "\n", "print(total)" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:21:43.923393Z", "start_time": "2019-06-07T08:21:43.912523Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\t0\t0\n", "\n", "2000\t4000000\t8000000000\n", "\n", "4000\t16000000\t64000000000\n", "\n", "6000\t36000000\t216000000000\n", "\n", "8000\t64000000\t512000000000\n", "\n" ] } ], "source": [ "with open('../data/data_write_to_file.txt','r') as f:\n", " for k, i in enumerate(f):\n", " if k%2000 == 0:\n", " print(i)" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:25:49.299881Z", "start_time": "2019-06-07T08:25:49.290974Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "[[0, 0, 0]]" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data = []\n", "line = '0\\t0\\t0\\n'\n", "line = line.replace('\\n', '')\n", "line = line.split('\\t')\n", "line = [int(i) for i in line] # convert str to int\n", "data.append(line) \n", "data" ] }, { "cell_type": "code", "execution_count": 102, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:25:32.931614Z", "start_time": "2021-05-14T07:25:32.856992Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "[[0, 0, 0],\n", " [1, 1, 1],\n", " [2, 4, 8],\n", " [3, 9, 27],\n", " [4, 16, 64],\n", " [5, 25, 125],\n", " [6, 36, 216],\n", " [7, 49, 343],\n", " [8, 64, 512],\n", " [9, 81, 729],\n", " [10, 100, 1000],\n", " [11, 121, 1331],\n", " [12, 144, 1728],\n", " [13, 169, 2197],\n", " [14, 196, 2744],\n", " [15, 225, 3375],\n", " [16, 256, 4096],\n", " [17, 289, 4913],\n", " [18, 324, 5832],\n", " [19, 361, 6859],\n", " [20, 400, 8000],\n", " [21, 441, 9261],\n", " [22, 484, 10648],\n", " [23, 529, 12167],\n", " [24, 576, 13824],\n", " [25, 625, 15625],\n", " [26, 676, 17576],\n", " [27, 729, 19683],\n", " [28, 784, 21952],\n", " [29, 841, 24389],\n", " [30, 900, 27000],\n", " [31, 961, 29791],\n", " [32, 1024, 32768],\n", " [33, 1089, 35937],\n", " [34, 1156, 39304],\n", " [35, 1225, 42875],\n", " [36, 1296, 46656],\n", " [37, 1369, 50653],\n", " [38, 1444, 54872],\n", " [39, 1521, 59319],\n", " [40, 1600, 64000],\n", " [41, 1681, 68921],\n", " [42, 1764, 74088],\n", " [43, 1849, 79507],\n", " [44, 1936, 85184],\n", " [45, 2025, 91125],\n", " [46, 2116, 97336],\n", " [47, 2209, 103823],\n", " [48, 2304, 110592],\n", " [49, 2401, 117649],\n", " [50, 2500, 125000],\n", " [51, 2601, 132651],\n", " [52, 2704, 140608],\n", " [53, 2809, 148877],\n", " [54, 2916, 157464],\n", " [55, 3025, 166375],\n", " [56, 3136, 175616],\n", " [57, 3249, 185193],\n", " [58, 3364, 195112],\n", " [59, 3481, 205379],\n", " [60, 3600, 216000],\n", " [61, 3721, 226981],\n", " [62, 3844, 238328],\n", " [63, 3969, 250047],\n", " [64, 4096, 262144],\n", " [65, 4225, 274625],\n", " [66, 4356, 287496],\n", " [67, 4489, 300763],\n", " [68, 4624, 314432],\n", " [69, 4761, 328509],\n", " [70, 4900, 343000],\n", " [71, 5041, 357911],\n", " [72, 5184, 373248],\n", " [73, 5329, 389017],\n", " [74, 5476, 405224],\n", " [75, 5625, 421875],\n", " [76, 5776, 438976],\n", " [77, 5929, 456533],\n", " [78, 6084, 474552],\n", " [79, 6241, 493039],\n", " [80, 6400, 512000],\n", " [81, 6561, 531441],\n", " [82, 6724, 551368],\n", " [83, 6889, 571787],\n", " [84, 7056, 592704],\n", " [85, 7225, 614125],\n", " [86, 7396, 636056],\n", " [87, 7569, 658503],\n", " [88, 7744, 681472],\n", " [89, 7921, 704969],\n", " [90, 8100, 729000],\n", " [91, 8281, 753571],\n", " [92, 8464, 778688],\n", " [93, 8649, 804357],\n", " [94, 8836, 830584],\n", " [95, 9025, 857375],\n", " [96, 9216, 884736],\n", " [97, 9409, 912673],\n", " [98, 9604, 941192],\n", " [99, 9801, 970299],\n", " [100, 10000, 1000000],\n", " [101, 10201, 1030301],\n", " [102, 10404, 1061208],\n", " [103, 10609, 1092727],\n", " [104, 10816, 1124864],\n", " [105, 11025, 1157625],\n", " [106, 11236, 1191016],\n", " [107, 11449, 1225043],\n", " [108, 11664, 1259712],\n", " [109, 11881, 1295029],\n", " [110, 12100, 1331000],\n", " [111, 12321, 1367631],\n", " [112, 12544, 1404928],\n", " [113, 12769, 1442897],\n", " [114, 12996, 1481544],\n", " [115, 13225, 1520875],\n", " [116, 13456, 1560896],\n", " [117, 13689, 1601613],\n", " [118, 13924, 1643032],\n", " [119, 14161, 1685159],\n", " [120, 14400, 1728000],\n", " [121, 14641, 1771561],\n", " [122, 14884, 1815848],\n", " [123, 15129, 1860867],\n", " [124, 15376, 1906624],\n", " [125, 15625, 1953125],\n", " [126, 15876, 2000376],\n", " [127, 16129, 2048383],\n", " [128, 16384, 2097152],\n", " [129, 16641, 2146689],\n", " [130, 16900, 2197000],\n", " [131, 17161, 2248091],\n", " [132, 17424, 2299968],\n", " [133, 17689, 2352637],\n", " [134, 17956, 2406104],\n", " [135, 18225, 2460375],\n", " [136, 18496, 2515456],\n", " [137, 18769, 2571353],\n", " [138, 19044, 2628072],\n", " [139, 19321, 2685619],\n", " [140, 19600, 2744000],\n", " [141, 19881, 2803221],\n", " [142, 20164, 2863288],\n", " [143, 20449, 2924207],\n", " [144, 20736, 2985984],\n", " [145, 21025, 3048625],\n", " [146, 21316, 3112136],\n", " [147, 21609, 3176523],\n", " [148, 21904, 3241792],\n", " [149, 22201, 3307949],\n", " [150, 22500, 3375000],\n", " [151, 22801, 3442951],\n", " [152, 23104, 3511808],\n", " [153, 23409, 3581577],\n", " [154, 23716, 3652264],\n", " [155, 24025, 3723875],\n", " [156, 24336, 3796416],\n", " [157, 24649, 3869893],\n", " [158, 24964, 3944312],\n", " [159, 25281, 4019679],\n", " [160, 25600, 4096000],\n", " [161, 25921, 4173281],\n", " [162, 26244, 4251528],\n", " [163, 26569, 4330747],\n", " [164, 26896, 4410944],\n", " [165, 27225, 4492125],\n", " [166, 27556, 4574296],\n", " [167, 27889, 4657463],\n", " [168, 28224, 4741632],\n", " [169, 28561, 4826809],\n", " [170, 28900, 4913000],\n", " [171, 29241, 5000211],\n", " [172, 29584, 5088448],\n", " [173, 29929, 5177717],\n", " [174, 30276, 5268024],\n", " [175, 30625, 5359375],\n", " [176, 30976, 5451776],\n", " [177, 31329, 5545233],\n", " [178, 31684, 5639752],\n", " [179, 32041, 5735339],\n", " [180, 32400, 5832000],\n", " [181, 32761, 5929741],\n", " [182, 33124, 6028568],\n", " [183, 33489, 6128487],\n", " [184, 33856, 6229504],\n", " [185, 34225, 6331625],\n", " [186, 34596, 6434856],\n", " [187, 34969, 6539203],\n", " [188, 35344, 6644672],\n", " [189, 35721, 6751269],\n", " [190, 36100, 6859000],\n", " [191, 36481, 6967871],\n", " [192, 36864, 7077888],\n", " [193, 37249, 7189057],\n", " [194, 37636, 7301384],\n", " [195, 38025, 7414875],\n", " [196, 38416, 7529536],\n", " [197, 38809, 7645373],\n", " [198, 39204, 7762392],\n", " [199, 39601, 7880599],\n", " [200, 40000, 8000000],\n", " [201, 40401, 8120601],\n", " [202, 40804, 8242408],\n", " [203, 41209, 8365427],\n", " [204, 41616, 8489664],\n", " [205, 42025, 8615125],\n", " [206, 42436, 8741816],\n", " [207, 42849, 8869743],\n", " [208, 43264, 8998912],\n", " [209, 43681, 9129329],\n", " [210, 44100, 9261000],\n", " [211, 44521, 9393931],\n", " [212, 44944, 9528128],\n", " [213, 45369, 9663597],\n", " [214, 45796, 9800344],\n", " [215, 46225, 9938375],\n", " [216, 46656, 10077696],\n", " [217, 47089, 10218313],\n", " [218, 47524, 10360232],\n", " [219, 47961, 10503459],\n", " [220, 48400, 10648000],\n", " [221, 48841, 10793861],\n", " [222, 49284, 10941048],\n", " [223, 49729, 11089567],\n", " [224, 50176, 11239424],\n", " [225, 50625, 11390625],\n", " [226, 51076, 11543176],\n", " [227, 51529, 11697083],\n", " [228, 51984, 11852352],\n", " [229, 52441, 12008989],\n", " [230, 52900, 12167000],\n", " [231, 53361, 12326391],\n", " [232, 53824, 12487168],\n", " [233, 54289, 12649337],\n", " [234, 54756, 12812904],\n", " [235, 55225, 12977875],\n", " [236, 55696, 13144256],\n", " [237, 56169, 13312053],\n", " [238, 56644, 13481272],\n", " [239, 57121, 13651919],\n", " [240, 57600, 13824000],\n", " [241, 58081, 13997521],\n", " [242, 58564, 14172488],\n", " [243, 59049, 14348907],\n", " [244, 59536, 14526784],\n", " [245, 60025, 14706125],\n", " [246, 60516, 14886936],\n", " [247, 61009, 15069223],\n", " [248, 61504, 15252992],\n", " [249, 62001, 15438249],\n", " [250, 62500, 15625000],\n", " [251, 63001, 15813251],\n", " [252, 63504, 16003008],\n", " [253, 64009, 16194277],\n", " [254, 64516, 16387064],\n", " [255, 65025, 16581375],\n", " [256, 65536, 16777216],\n", " [257, 66049, 16974593],\n", " [258, 66564, 17173512],\n", " [259, 67081, 17373979],\n", " [260, 67600, 17576000],\n", " [261, 68121, 17779581],\n", " [262, 68644, 17984728],\n", " [263, 69169, 18191447],\n", " [264, 69696, 18399744],\n", " [265, 70225, 18609625],\n", " [266, 70756, 18821096],\n", " [267, 71289, 19034163],\n", " [268, 71824, 19248832],\n", " [269, 72361, 19465109],\n", " [270, 72900, 19683000],\n", " [271, 73441, 19902511],\n", " [272, 73984, 20123648],\n", " [273, 74529, 20346417],\n", " [274, 75076, 20570824],\n", " [275, 75625, 20796875],\n", " [276, 76176, 21024576],\n", " [277, 76729, 21253933],\n", " [278, 77284, 21484952],\n", " [279, 77841, 21717639],\n", " [280, 78400, 21952000],\n", " [281, 78961, 22188041],\n", " [282, 79524, 22425768],\n", " [283, 80089, 22665187],\n", " [284, 80656, 22906304],\n", " [285, 81225, 23149125],\n", " [286, 81796, 23393656],\n", " [287, 82369, 23639903],\n", " [288, 82944, 23887872],\n", " [289, 83521, 24137569],\n", " [290, 84100, 24389000],\n", " [291, 84681, 24642171],\n", " [292, 85264, 24897088],\n", " [293, 85849, 25153757],\n", " [294, 86436, 25412184],\n", " [295, 87025, 25672375],\n", " [296, 87616, 25934336],\n", " [297, 88209, 26198073],\n", " [298, 88804, 26463592],\n", " [299, 89401, 26730899],\n", " [300, 90000, 27000000],\n", " [301, 90601, 27270901],\n", " [302, 91204, 27543608],\n", " [303, 91809, 27818127],\n", " [304, 92416, 28094464],\n", " [305, 93025, 28372625],\n", " [306, 93636, 28652616],\n", " [307, 94249, 28934443],\n", " [308, 94864, 29218112],\n", " [309, 95481, 29503629],\n", " [310, 96100, 29791000],\n", " [311, 96721, 30080231],\n", " [312, 97344, 30371328],\n", " [313, 97969, 30664297],\n", " [314, 98596, 30959144],\n", " [315, 99225, 31255875],\n", " [316, 99856, 31554496],\n", " [317, 100489, 31855013],\n", " [318, 101124, 32157432],\n", " [319, 101761, 32461759],\n", " [320, 102400, 32768000],\n", " [321, 103041, 33076161],\n", " [322, 103684, 33386248],\n", " [323, 104329, 33698267],\n", " [324, 104976, 34012224],\n", " [325, 105625, 34328125],\n", " [326, 106276, 34645976],\n", " [327, 106929, 34965783],\n", " [328, 107584, 35287552],\n", " [329, 108241, 35611289],\n", " [330, 108900, 35937000],\n", " [331, 109561, 36264691],\n", " [332, 110224, 36594368],\n", " [333, 110889, 36926037],\n", " [334, 111556, 37259704],\n", " [335, 112225, 37595375],\n", " [336, 112896, 37933056],\n", " [337, 113569, 38272753],\n", " [338, 114244, 38614472],\n", " [339, 114921, 38958219],\n", " [340, 115600, 39304000],\n", " [341, 116281, 39651821],\n", " [342, 116964, 40001688],\n", " [343, 117649, 40353607],\n", " [344, 118336, 40707584],\n", " [345, 119025, 41063625],\n", " [346, 119716, 41421736],\n", " [347, 120409, 41781923],\n", " [348, 121104, 42144192],\n", " [349, 121801, 42508549],\n", " [350, 122500, 42875000],\n", " [351, 123201, 43243551],\n", " [352, 123904, 43614208],\n", " [353, 124609, 43986977],\n", " [354, 125316, 44361864],\n", " [355, 126025, 44738875],\n", " [356, 126736, 45118016],\n", " [357, 127449, 45499293],\n", " [358, 128164, 45882712],\n", " [359, 128881, 46268279],\n", " [360, 129600, 46656000],\n", " [361, 130321, 47045881],\n", " [362, 131044, 47437928],\n", " [363, 131769, 47832147],\n", " [364, 132496, 48228544],\n", " [365, 133225, 48627125],\n", " [366, 133956, 49027896],\n", " [367, 134689, 49430863],\n", " [368, 135424, 49836032],\n", " [369, 136161, 50243409],\n", " [370, 136900, 50653000],\n", " [371, 137641, 51064811],\n", " [372, 138384, 51478848],\n", " [373, 139129, 51895117],\n", " [374, 139876, 52313624],\n", " [375, 140625, 52734375],\n", " [376, 141376, 53157376],\n", " [377, 142129, 53582633],\n", " [378, 142884, 54010152],\n", " [379, 143641, 54439939],\n", " [380, 144400, 54872000],\n", " [381, 145161, 55306341],\n", " [382, 145924, 55742968],\n", " [383, 146689, 56181887],\n", " [384, 147456, 56623104],\n", " [385, 148225, 57066625],\n", " [386, 148996, 57512456],\n", " [387, 149769, 57960603],\n", " [388, 150544, 58411072],\n", " [389, 151321, 58863869],\n", " [390, 152100, 59319000],\n", " [391, 152881, 59776471],\n", " [392, 153664, 60236288],\n", " [393, 154449, 60698457],\n", " [394, 155236, 61162984],\n", " [395, 156025, 61629875],\n", " [396, 156816, 62099136],\n", " [397, 157609, 62570773],\n", " [398, 158404, 63044792],\n", " [399, 159201, 63521199],\n", " [400, 160000, 64000000],\n", " [401, 160801, 64481201],\n", " [402, 161604, 64964808],\n", " [403, 162409, 65450827],\n", " [404, 163216, 65939264],\n", " [405, 164025, 66430125],\n", " [406, 164836, 66923416],\n", " [407, 165649, 67419143],\n", " [408, 166464, 67917312],\n", " [409, 167281, 68417929],\n", " [410, 168100, 68921000],\n", " [411, 168921, 69426531],\n", " [412, 169744, 69934528],\n", " [413, 170569, 70444997],\n", " [414, 171396, 70957944],\n", " [415, 172225, 71473375],\n", " [416, 173056, 71991296],\n", " [417, 173889, 72511713],\n", " [418, 174724, 73034632],\n", " [419, 175561, 73560059],\n", " [420, 176400, 74088000],\n", " [421, 177241, 74618461],\n", " [422, 178084, 75151448],\n", " [423, 178929, 75686967],\n", " [424, 179776, 76225024],\n", " [425, 180625, 76765625],\n", " [426, 181476, 77308776],\n", " [427, 182329, 77854483],\n", " [428, 183184, 78402752],\n", " [429, 184041, 78953589],\n", " [430, 184900, 79507000],\n", " [431, 185761, 80062991],\n", " [432, 186624, 80621568],\n", " [433, 187489, 81182737],\n", " [434, 188356, 81746504],\n", " [435, 189225, 82312875],\n", " [436, 190096, 82881856],\n", " [437, 190969, 83453453],\n", " [438, 191844, 84027672],\n", " [439, 192721, 84604519],\n", " [440, 193600, 85184000],\n", " [441, 194481, 85766121],\n", " [442, 195364, 86350888],\n", " [443, 196249, 86938307],\n", " [444, 197136, 87528384],\n", " [445, 198025, 88121125],\n", " [446, 198916, 88716536],\n", " [447, 199809, 89314623],\n", " [448, 200704, 89915392],\n", " [449, 201601, 90518849],\n", " [450, 202500, 91125000],\n", " [451, 203401, 91733851],\n", " [452, 204304, 92345408],\n", " [453, 205209, 92959677],\n", " [454, 206116, 93576664],\n", " [455, 207025, 94196375],\n", " [456, 207936, 94818816],\n", " [457, 208849, 95443993],\n", " [458, 209764, 96071912],\n", " [459, 210681, 96702579],\n", " [460, 211600, 97336000],\n", " [461, 212521, 97972181],\n", " [462, 213444, 98611128],\n", " [463, 214369, 99252847],\n", " [464, 215296, 99897344],\n", " [465, 216225, 100544625],\n", " [466, 217156, 101194696],\n", " [467, 218089, 101847563],\n", " [468, 219024, 102503232],\n", " [469, 219961, 103161709],\n", " [470, 220900, 103823000],\n", " [471, 221841, 104487111],\n", " [472, 222784, 105154048],\n", " [473, 223729, 105823817],\n", " [474, 224676, 106496424],\n", " [475, 225625, 107171875],\n", " [476, 226576, 107850176],\n", " [477, 227529, 108531333],\n", " [478, 228484, 109215352],\n", " [479, 229441, 109902239],\n", " [480, 230400, 110592000],\n", " [481, 231361, 111284641],\n", " [482, 232324, 111980168],\n", " [483, 233289, 112678587],\n", " [484, 234256, 113379904],\n", " [485, 235225, 114084125],\n", " [486, 236196, 114791256],\n", " [487, 237169, 115501303],\n", " [488, 238144, 116214272],\n", " [489, 239121, 116930169],\n", " [490, 240100, 117649000],\n", " [491, 241081, 118370771],\n", " [492, 242064, 119095488],\n", " [493, 243049, 119823157],\n", " [494, 244036, 120553784],\n", " [495, 245025, 121287375],\n", " [496, 246016, 122023936],\n", " [497, 247009, 122763473],\n", " [498, 248004, 123505992],\n", " [499, 249001, 124251499],\n", " [500, 250000, 125000000],\n", " [501, 251001, 125751501],\n", " [502, 252004, 126506008],\n", " [503, 253009, 127263527],\n", " [504, 254016, 128024064],\n", " [505, 255025, 128787625],\n", " [506, 256036, 129554216],\n", " [507, 257049, 130323843],\n", " [508, 258064, 131096512],\n", " [509, 259081, 131872229],\n", " [510, 260100, 132651000],\n", " [511, 261121, 133432831],\n", " [512, 262144, 134217728],\n", " [513, 263169, 135005697],\n", " [514, 264196, 135796744],\n", " [515, 265225, 136590875],\n", " [516, 266256, 137388096],\n", " [517, 267289, 138188413],\n", " [518, 268324, 138991832],\n", " [519, 269361, 139798359],\n", " [520, 270400, 140608000],\n", " [521, 271441, 141420761],\n", " [522, 272484, 142236648],\n", " [523, 273529, 143055667],\n", " [524, 274576, 143877824],\n", " [525, 275625, 144703125],\n", " [526, 276676, 145531576],\n", " [527, 277729, 146363183],\n", " [528, 278784, 147197952],\n", " [529, 279841, 148035889],\n", " [530, 280900, 148877000],\n", " [531, 281961, 149721291],\n", " [532, 283024, 150568768],\n", " [533, 284089, 151419437],\n", " [534, 285156, 152273304],\n", " [535, 286225, 153130375],\n", " [536, 287296, 153990656],\n", " [537, 288369, 154854153],\n", " [538, 289444, 155720872],\n", " [539, 290521, 156590819],\n", " [540, 291600, 157464000],\n", " [541, 292681, 158340421],\n", " [542, 293764, 159220088],\n", " [543, 294849, 160103007],\n", " [544, 295936, 160989184],\n", " [545, 297025, 161878625],\n", " [546, 298116, 162771336],\n", " [547, 299209, 163667323],\n", " [548, 300304, 164566592],\n", " [549, 301401, 165469149],\n", " [550, 302500, 166375000],\n", " [551, 303601, 167284151],\n", " [552, 304704, 168196608],\n", " [553, 305809, 169112377],\n", " [554, 306916, 170031464],\n", " [555, 308025, 170953875],\n", " [556, 309136, 171879616],\n", " [557, 310249, 172808693],\n", " [558, 311364, 173741112],\n", " [559, 312481, 174676879],\n", " [560, 313600, 175616000],\n", " [561, 314721, 176558481],\n", " [562, 315844, 177504328],\n", " [563, 316969, 178453547],\n", " [564, 318096, 179406144],\n", " [565, 319225, 180362125],\n", " [566, 320356, 181321496],\n", " [567, 321489, 182284263],\n", " [568, 322624, 183250432],\n", " [569, 323761, 184220009],\n", " [570, 324900, 185193000],\n", " [571, 326041, 186169411],\n", " [572, 327184, 187149248],\n", " [573, 328329, 188132517],\n", " [574, 329476, 189119224],\n", " [575, 330625, 190109375],\n", " [576, 331776, 191102976],\n", " [577, 332929, 192100033],\n", " [578, 334084, 193100552],\n", " [579, 335241, 194104539],\n", " [580, 336400, 195112000],\n", " [581, 337561, 196122941],\n", " [582, 338724, 197137368],\n", " [583, 339889, 198155287],\n", " [584, 341056, 199176704],\n", " [585, 342225, 200201625],\n", " [586, 343396, 201230056],\n", " [587, 344569, 202262003],\n", " [588, 345744, 203297472],\n", " [589, 346921, 204336469],\n", " [590, 348100, 205379000],\n", " [591, 349281, 206425071],\n", " [592, 350464, 207474688],\n", " [593, 351649, 208527857],\n", " [594, 352836, 209584584],\n", " [595, 354025, 210644875],\n", " [596, 355216, 211708736],\n", " [597, 356409, 212776173],\n", " [598, 357604, 213847192],\n", " [599, 358801, 214921799],\n", " [600, 360000, 216000000],\n", " [601, 361201, 217081801],\n", " [602, 362404, 218167208],\n", " [603, 363609, 219256227],\n", " [604, 364816, 220348864],\n", " [605, 366025, 221445125],\n", " [606, 367236, 222545016],\n", " [607, 368449, 223648543],\n", " [608, 369664, 224755712],\n", " [609, 370881, 225866529],\n", " [610, 372100, 226981000],\n", " [611, 373321, 228099131],\n", " [612, 374544, 229220928],\n", " [613, 375769, 230346397],\n", " [614, 376996, 231475544],\n", " [615, 378225, 232608375],\n", " [616, 379456, 233744896],\n", " [617, 380689, 234885113],\n", " [618, 381924, 236029032],\n", " [619, 383161, 237176659],\n", " [620, 384400, 238328000],\n", " [621, 385641, 239483061],\n", " [622, 386884, 240641848],\n", " [623, 388129, 241804367],\n", " [624, 389376, 242970624],\n", " [625, 390625, 244140625],\n", " [626, 391876, 245314376],\n", " [627, 393129, 246491883],\n", " [628, 394384, 247673152],\n", " [629, 395641, 248858189],\n", " [630, 396900, 250047000],\n", " [631, 398161, 251239591],\n", " [632, 399424, 252435968],\n", " [633, 400689, 253636137],\n", " [634, 401956, 254840104],\n", " [635, 403225, 256047875],\n", " [636, 404496, 257259456],\n", " [637, 405769, 258474853],\n", " [638, 407044, 259694072],\n", " [639, 408321, 260917119],\n", " [640, 409600, 262144000],\n", " [641, 410881, 263374721],\n", " [642, 412164, 264609288],\n", " [643, 413449, 265847707],\n", " [644, 414736, 267089984],\n", " [645, 416025, 268336125],\n", " [646, 417316, 269586136],\n", " [647, 418609, 270840023],\n", " [648, 419904, 272097792],\n", " [649, 421201, 273359449],\n", " [650, 422500, 274625000],\n", " [651, 423801, 275894451],\n", " [652, 425104, 277167808],\n", " [653, 426409, 278445077],\n", " [654, 427716, 279726264],\n", " [655, 429025, 281011375],\n", " [656, 430336, 282300416],\n", " [657, 431649, 283593393],\n", " [658, 432964, 284890312],\n", " [659, 434281, 286191179],\n", " [660, 435600, 287496000],\n", " [661, 436921, 288804781],\n", " [662, 438244, 290117528],\n", " [663, 439569, 291434247],\n", " [664, 440896, 292754944],\n", " [665, 442225, 294079625],\n", " [666, 443556, 295408296],\n", " [667, 444889, 296740963],\n", " [668, 446224, 298077632],\n", " [669, 447561, 299418309],\n", " [670, 448900, 300763000],\n", " [671, 450241, 302111711],\n", " [672, 451584, 303464448],\n", " [673, 452929, 304821217],\n", " [674, 454276, 306182024],\n", " [675, 455625, 307546875],\n", " [676, 456976, 308915776],\n", " [677, 458329, 310288733],\n", " [678, 459684, 311665752],\n", " [679, 461041, 313046839],\n", " [680, 462400, 314432000],\n", " [681, 463761, 315821241],\n", " [682, 465124, 317214568],\n", " [683, 466489, 318611987],\n", " [684, 467856, 320013504],\n", " [685, 469225, 321419125],\n", " [686, 470596, 322828856],\n", " [687, 471969, 324242703],\n", " [688, 473344, 325660672],\n", " [689, 474721, 327082769],\n", " [690, 476100, 328509000],\n", " [691, 477481, 329939371],\n", " [692, 478864, 331373888],\n", " [693, 480249, 332812557],\n", " [694, 481636, 334255384],\n", " [695, 483025, 335702375],\n", " [696, 484416, 337153536],\n", " [697, 485809, 338608873],\n", " [698, 487204, 340068392],\n", " [699, 488601, 341532099],\n", " [700, 490000, 343000000],\n", " [701, 491401, 344472101],\n", " [702, 492804, 345948408],\n", " [703, 494209, 347428927],\n", " [704, 495616, 348913664],\n", " [705, 497025, 350402625],\n", " [706, 498436, 351895816],\n", " [707, 499849, 353393243],\n", " [708, 501264, 354894912],\n", " [709, 502681, 356400829],\n", " [710, 504100, 357911000],\n", " [711, 505521, 359425431],\n", " [712, 506944, 360944128],\n", " [713, 508369, 362467097],\n", " [714, 509796, 363994344],\n", " [715, 511225, 365525875],\n", " [716, 512656, 367061696],\n", " [717, 514089, 368601813],\n", " [718, 515524, 370146232],\n", " [719, 516961, 371694959],\n", " [720, 518400, 373248000],\n", " [721, 519841, 374805361],\n", " [722, 521284, 376367048],\n", " [723, 522729, 377933067],\n", " [724, 524176, 379503424],\n", " [725, 525625, 381078125],\n", " [726, 527076, 382657176],\n", " [727, 528529, 384240583],\n", " [728, 529984, 385828352],\n", " [729, 531441, 387420489],\n", " [730, 532900, 389017000],\n", " [731, 534361, 390617891],\n", " [732, 535824, 392223168],\n", " [733, 537289, 393832837],\n", " [734, 538756, 395446904],\n", " [735, 540225, 397065375],\n", " [736, 541696, 398688256],\n", " [737, 543169, 400315553],\n", " [738, 544644, 401947272],\n", " [739, 546121, 403583419],\n", " [740, 547600, 405224000],\n", " [741, 549081, 406869021],\n", " [742, 550564, 408518488],\n", " [743, 552049, 410172407],\n", " [744, 553536, 411830784],\n", " [745, 555025, 413493625],\n", " [746, 556516, 415160936],\n", " [747, 558009, 416832723],\n", " [748, 559504, 418508992],\n", " [749, 561001, 420189749],\n", " [750, 562500, 421875000],\n", " [751, 564001, 423564751],\n", " [752, 565504, 425259008],\n", " [753, 567009, 426957777],\n", " [754, 568516, 428661064],\n", " [755, 570025, 430368875],\n", " [756, 571536, 432081216],\n", " [757, 573049, 433798093],\n", " [758, 574564, 435519512],\n", " [759, 576081, 437245479],\n", " [760, 577600, 438976000],\n", " [761, 579121, 440711081],\n", " [762, 580644, 442450728],\n", " [763, 582169, 444194947],\n", " [764, 583696, 445943744],\n", " [765, 585225, 447697125],\n", " [766, 586756, 449455096],\n", " [767, 588289, 451217663],\n", " [768, 589824, 452984832],\n", " [769, 591361, 454756609],\n", " [770, 592900, 456533000],\n", " [771, 594441, 458314011],\n", " [772, 595984, 460099648],\n", " [773, 597529, 461889917],\n", " [774, 599076, 463684824],\n", " [775, 600625, 465484375],\n", " [776, 602176, 467288576],\n", " [777, 603729, 469097433],\n", " [778, 605284, 470910952],\n", " [779, 606841, 472729139],\n", " [780, 608400, 474552000],\n", " [781, 609961, 476379541],\n", " [782, 611524, 478211768],\n", " [783, 613089, 480048687],\n", " [784, 614656, 481890304],\n", " [785, 616225, 483736625],\n", " [786, 617796, 485587656],\n", " [787, 619369, 487443403],\n", " [788, 620944, 489303872],\n", " [789, 622521, 491169069],\n", " [790, 624100, 493039000],\n", " [791, 625681, 494913671],\n", " [792, 627264, 496793088],\n", " [793, 628849, 498677257],\n", " [794, 630436, 500566184],\n", " [795, 632025, 502459875],\n", " [796, 633616, 504358336],\n", " [797, 635209, 506261573],\n", " [798, 636804, 508169592],\n", " [799, 638401, 510082399],\n", " [800, 640000, 512000000],\n", " [801, 641601, 513922401],\n", " [802, 643204, 515849608],\n", " [803, 644809, 517781627],\n", " [804, 646416, 519718464],\n", " [805, 648025, 521660125],\n", " [806, 649636, 523606616],\n", " [807, 651249, 525557943],\n", " [808, 652864, 527514112],\n", " [809, 654481, 529475129],\n", " [810, 656100, 531441000],\n", " [811, 657721, 533411731],\n", " [812, 659344, 535387328],\n", " [813, 660969, 537367797],\n", " [814, 662596, 539353144],\n", " [815, 664225, 541343375],\n", " [816, 665856, 543338496],\n", " [817, 667489, 545338513],\n", " [818, 669124, 547343432],\n", " [819, 670761, 549353259],\n", " [820, 672400, 551368000],\n", " [821, 674041, 553387661],\n", " [822, 675684, 555412248],\n", " [823, 677329, 557441767],\n", " [824, 678976, 559476224],\n", " [825, 680625, 561515625],\n", " [826, 682276, 563559976],\n", " [827, 683929, 565609283],\n", " [828, 685584, 567663552],\n", " [829, 687241, 569722789],\n", " [830, 688900, 571787000],\n", " [831, 690561, 573856191],\n", " [832, 692224, 575930368],\n", " [833, 693889, 578009537],\n", " [834, 695556, 580093704],\n", " [835, 697225, 582182875],\n", " [836, 698896, 584277056],\n", " [837, 700569, 586376253],\n", " [838, 702244, 588480472],\n", " [839, 703921, 590589719],\n", " [840, 705600, 592704000],\n", " [841, 707281, 594823321],\n", " [842, 708964, 596947688],\n", " [843, 710649, 599077107],\n", " [844, 712336, 601211584],\n", " [845, 714025, 603351125],\n", " [846, 715716, 605495736],\n", " [847, 717409, 607645423],\n", " [848, 719104, 609800192],\n", " [849, 720801, 611960049],\n", " [850, 722500, 614125000],\n", " [851, 724201, 616295051],\n", " [852, 725904, 618470208],\n", " [853, 727609, 620650477],\n", " [854, 729316, 622835864],\n", " [855, 731025, 625026375],\n", " [856, 732736, 627222016],\n", " [857, 734449, 629422793],\n", " [858, 736164, 631628712],\n", " [859, 737881, 633839779],\n", " [860, 739600, 636056000],\n", " [861, 741321, 638277381],\n", " [862, 743044, 640503928],\n", " [863, 744769, 642735647],\n", " [864, 746496, 644972544],\n", " [865, 748225, 647214625],\n", " [866, 749956, 649461896],\n", " [867, 751689, 651714363],\n", " [868, 753424, 653972032],\n", " [869, 755161, 656234909],\n", " [870, 756900, 658503000],\n", " [871, 758641, 660776311],\n", " [872, 760384, 663054848],\n", " [873, 762129, 665338617],\n", " [874, 763876, 667627624],\n", " [875, 765625, 669921875],\n", " [876, 767376, 672221376],\n", " [877, 769129, 674526133],\n", " [878, 770884, 676836152],\n", " [879, 772641, 679151439],\n", " [880, 774400, 681472000],\n", " [881, 776161, 683797841],\n", " [882, 777924, 686128968],\n", " [883, 779689, 688465387],\n", " [884, 781456, 690807104],\n", " [885, 783225, 693154125],\n", " [886, 784996, 695506456],\n", " [887, 786769, 697864103],\n", " [888, 788544, 700227072],\n", " [889, 790321, 702595369],\n", " [890, 792100, 704969000],\n", " [891, 793881, 707347971],\n", " [892, 795664, 709732288],\n", " [893, 797449, 712121957],\n", " [894, 799236, 714516984],\n", " [895, 801025, 716917375],\n", " [896, 802816, 719323136],\n", " [897, 804609, 721734273],\n", " [898, 806404, 724150792],\n", " [899, 808201, 726572699],\n", " [900, 810000, 729000000],\n", " [901, 811801, 731432701],\n", " [902, 813604, 733870808],\n", " [903, 815409, 736314327],\n", " [904, 817216, 738763264],\n", " [905, 819025, 741217625],\n", " [906, 820836, 743677416],\n", " [907, 822649, 746142643],\n", " [908, 824464, 748613312],\n", " [909, 826281, 751089429],\n", " [910, 828100, 753571000],\n", " [911, 829921, 756058031],\n", " [912, 831744, 758550528],\n", " [913, 833569, 761048497],\n", " [914, 835396, 763551944],\n", " [915, 837225, 766060875],\n", " [916, 839056, 768575296],\n", " [917, 840889, 771095213],\n", " [918, 842724, 773620632],\n", " [919, 844561, 776151559],\n", " [920, 846400, 778688000],\n", " [921, 848241, 781229961],\n", " [922, 850084, 783777448],\n", " [923, 851929, 786330467],\n", " [924, 853776, 788889024],\n", " [925, 855625, 791453125],\n", " [926, 857476, 794022776],\n", " [927, 859329, 796597983],\n", " [928, 861184, 799178752],\n", " [929, 863041, 801765089],\n", " [930, 864900, 804357000],\n", " [931, 866761, 806954491],\n", " [932, 868624, 809557568],\n", " [933, 870489, 812166237],\n", " [934, 872356, 814780504],\n", " [935, 874225, 817400375],\n", " [936, 876096, 820025856],\n", " [937, 877969, 822656953],\n", " [938, 879844, 825293672],\n", " [939, 881721, 827936019],\n", " [940, 883600, 830584000],\n", " [941, 885481, 833237621],\n", " [942, 887364, 835896888],\n", " [943, 889249, 838561807],\n", " [944, 891136, 841232384],\n", " [945, 893025, 843908625],\n", " [946, 894916, 846590536],\n", " [947, 896809, 849278123],\n", " [948, 898704, 851971392],\n", " [949, 900601, 854670349],\n", " [950, 902500, 857375000],\n", " [951, 904401, 860085351],\n", " [952, 906304, 862801408],\n", " [953, 908209, 865523177],\n", " [954, 910116, 868250664],\n", " [955, 912025, 870983875],\n", " [956, 913936, 873722816],\n", " [957, 915849, 876467493],\n", " [958, 917764, 879217912],\n", " [959, 919681, 881974079],\n", " [960, 921600, 884736000],\n", " [961, 923521, 887503681],\n", " [962, 925444, 890277128],\n", " [963, 927369, 893056347],\n", " [964, 929296, 895841344],\n", " [965, 931225, 898632125],\n", " [966, 933156, 901428696],\n", " [967, 935089, 904231063],\n", " [968, 937024, 907039232],\n", " [969, 938961, 909853209],\n", " [970, 940900, 912673000],\n", " [971, 942841, 915498611],\n", " [972, 944784, 918330048],\n", " [973, 946729, 921167317],\n", " [974, 948676, 924010424],\n", " [975, 950625, 926859375],\n", " [976, 952576, 929714176],\n", " [977, 954529, 932574833],\n", " [978, 956484, 935441352],\n", " [979, 958441, 938313739],\n", " [980, 960400, 941192000],\n", " [981, 962361, 944076141],\n", " [982, 964324, 946966168],\n", " [983, 966289, 949862087],\n", " [984, 968256, 952763904],\n", " [985, 970225, 955671625],\n", " [986, 972196, 958585256],\n", " [987, 974169, 961504803],\n", " [988, 976144, 964430272],\n", " [989, 978121, 967361669],\n", " [990, 980100, 970299000],\n", " [991, 982081, 973242271],\n", " [992, 984064, 976191488],\n", " [993, 986049, 979146657],\n", " [994, 988036, 982107784],\n", " [995, 990025, 985074875],\n", " [996, 992016, 988047936],\n", " [997, 994009, 991026973],\n", " [998, 996004, 994011992],\n", " [999, 998001, 997002999],\n", " ...]" ] }, "execution_count": 102, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# 读取数据\n", "data = []\n", "with open('data_write_to_file1.txt','r') as f:\n", " for line in f:\n", " line = line.replace('\\n', '').split('\\t')\n", " line = [int(i) for i in line]\n", " data.append(line)\n", "data" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "ExecuteTime": { "end_time": "2017-05-12T18:56:49.371074", "start_time": "2017-05-12T18:56:49.104626" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/plain": [ "[[0, 0, 0],\n", " [1, 1, 1],\n", " [2, 4, 8],\n", " [3, 9, 27],\n", " [4, 16, 64],\n", " [5, 25, 125],\n", " [6, 36, 216],\n", " [7, 49, 343],\n", " [8, 64, 512],\n", " [9, 81, 729],\n", " [10, 100, 1000],\n", " [11, 121, 1331],\n", " [12, 144, 1728],\n", " [13, 169, 2197],\n", " [14, 196, 2744],\n", " [15, 225, 3375],\n", " [16, 256, 4096],\n", " [17, 289, 4913],\n", " [18, 324, 5832],\n", " [19, 361, 6859],\n", " [20, 400, 8000],\n", " [21, 441, 9261],\n", " [22, 484, 10648],\n", " [23, 529, 12167],\n", " [24, 576, 13824],\n", " [25, 625, 15625],\n", " [26, 676, 17576],\n", " [27, 729, 19683],\n", " [28, 784, 21952],\n", " [29, 841, 24389],\n", " [30, 900, 27000],\n", " [31, 961, 29791],\n", " [32, 1024, 32768],\n", " [33, 1089, 35937],\n", " [34, 1156, 39304],\n", " [35, 1225, 42875],\n", " [36, 1296, 46656],\n", " [37, 1369, 50653],\n", " [38, 1444, 54872],\n", " [39, 1521, 59319],\n", " [40, 1600, 64000],\n", " [41, 1681, 68921],\n", " [42, 1764, 74088],\n", " [43, 1849, 79507],\n", " [44, 1936, 85184],\n", " [45, 2025, 91125],\n", " [46, 2116, 97336],\n", " [47, 2209, 103823],\n", " [48, 2304, 110592],\n", " [49, 2401, 117649],\n", " [50, 2500, 125000],\n", " [51, 2601, 132651],\n", " [52, 2704, 140608],\n", " [53, 2809, 148877],\n", " [54, 2916, 157464],\n", " [55, 3025, 166375],\n", " [56, 3136, 175616],\n", " [57, 3249, 185193],\n", " [58, 3364, 195112],\n", " [59, 3481, 205379],\n", " [60, 3600, 216000],\n", " [61, 3721, 226981],\n", " [62, 3844, 238328],\n", " [63, 3969, 250047],\n", " [64, 4096, 262144],\n", " [65, 4225, 274625],\n", " [66, 4356, 287496],\n", " [67, 4489, 300763],\n", " [68, 4624, 314432],\n", " [69, 4761, 328509],\n", " [70, 4900, 343000],\n", " [71, 5041, 357911],\n", " [72, 5184, 373248],\n", " [73, 5329, 389017],\n", " [74, 5476, 405224],\n", " [75, 5625, 421875],\n", " [76, 5776, 438976],\n", " [77, 5929, 456533],\n", " [78, 6084, 474552],\n", " [79, 6241, 493039],\n", " [80, 6400, 512000],\n", " [81, 6561, 531441],\n", " [82, 6724, 551368],\n", " [83, 6889, 571787],\n", " [84, 7056, 592704],\n", " [85, 7225, 614125],\n", " [86, 7396, 636056],\n", " [87, 7569, 658503],\n", " [88, 7744, 681472],\n", " [89, 7921, 704969],\n", " [90, 8100, 729000],\n", " [91, 8281, 753571],\n", " [92, 8464, 778688],\n", " [93, 8649, 804357],\n", " [94, 8836, 830584],\n", " [95, 9025, 857375],\n", " [96, 9216, 884736],\n", " [97, 9409, 912673],\n", " [98, 9604, 941192],\n", " [99, 9801, 970299],\n", " [100, 10000, 1000000],\n", " [101, 10201, 1030301],\n", " [102, 10404, 1061208],\n", " [103, 10609, 1092727],\n", " [104, 10816, 1124864],\n", " [105, 11025, 1157625],\n", " [106, 11236, 1191016],\n", " [107, 11449, 1225043],\n", " [108, 11664, 1259712],\n", " [109, 11881, 1295029],\n", " [110, 12100, 1331000],\n", " [111, 12321, 1367631],\n", " [112, 12544, 1404928],\n", " [113, 12769, 1442897],\n", " [114, 12996, 1481544],\n", " [115, 13225, 1520875],\n", " [116, 13456, 1560896],\n", " [117, 13689, 1601613],\n", " [118, 13924, 1643032],\n", " [119, 14161, 1685159],\n", " [120, 14400, 1728000],\n", " [121, 14641, 1771561],\n", " [122, 14884, 1815848],\n", " [123, 15129, 1860867],\n", " [124, 15376, 1906624],\n", " [125, 15625, 1953125],\n", " [126, 15876, 2000376],\n", " [127, 16129, 2048383],\n", " [128, 16384, 2097152],\n", " [129, 16641, 2146689],\n", " [130, 16900, 2197000],\n", " [131, 17161, 2248091],\n", " [132, 17424, 2299968],\n", " [133, 17689, 2352637],\n", " [134, 17956, 2406104],\n", " [135, 18225, 2460375],\n", " [136, 18496, 2515456],\n", " [137, 18769, 2571353],\n", " [138, 19044, 2628072],\n", " [139, 19321, 2685619],\n", " [140, 19600, 2744000],\n", " [141, 19881, 2803221],\n", " [142, 20164, 2863288],\n", " [143, 20449, 2924207],\n", " [144, 20736, 2985984],\n", " [145, 21025, 3048625],\n", " [146, 21316, 3112136],\n", " [147, 21609, 3176523],\n", " [148, 21904, 3241792],\n", " [149, 22201, 3307949],\n", " [150, 22500, 3375000],\n", " [151, 22801, 3442951],\n", " [152, 23104, 3511808],\n", " [153, 23409, 3581577],\n", " [154, 23716, 3652264],\n", " [155, 24025, 3723875],\n", " [156, 24336, 3796416],\n", " [157, 24649, 3869893],\n", " [158, 24964, 3944312],\n", " [159, 25281, 4019679],\n", " [160, 25600, 4096000],\n", " [161, 25921, 4173281],\n", " [162, 26244, 4251528],\n", " [163, 26569, 4330747],\n", " [164, 26896, 4410944],\n", " [165, 27225, 4492125],\n", " [166, 27556, 4574296],\n", " [167, 27889, 4657463],\n", " [168, 28224, 4741632],\n", " [169, 28561, 4826809],\n", " [170, 28900, 4913000],\n", " [171, 29241, 5000211],\n", " [172, 29584, 5088448],\n", " [173, 29929, 5177717],\n", " [174, 30276, 5268024],\n", " [175, 30625, 5359375],\n", " [176, 30976, 5451776],\n", " [177, 31329, 5545233],\n", " [178, 31684, 5639752],\n", " [179, 32041, 5735339],\n", " [180, 32400, 5832000],\n", " [181, 32761, 5929741],\n", " [182, 33124, 6028568],\n", " [183, 33489, 6128487],\n", " [184, 33856, 6229504],\n", " [185, 34225, 6331625],\n", " [186, 34596, 6434856],\n", " [187, 34969, 6539203],\n", " [188, 35344, 6644672],\n", " [189, 35721, 6751269],\n", " [190, 36100, 6859000],\n", " [191, 36481, 6967871],\n", " [192, 36864, 7077888],\n", " [193, 37249, 7189057],\n", " [194, 37636, 7301384],\n", " [195, 38025, 7414875],\n", " [196, 38416, 7529536],\n", " [197, 38809, 7645373],\n", " [198, 39204, 7762392],\n", " [199, 39601, 7880599],\n", " [200, 40000, 8000000],\n", " [201, 40401, 8120601],\n", " [202, 40804, 8242408],\n", " [203, 41209, 8365427],\n", " [204, 41616, 8489664],\n", " [205, 42025, 8615125],\n", " [206, 42436, 8741816],\n", " [207, 42849, 8869743],\n", " [208, 43264, 8998912],\n", " [209, 43681, 9129329],\n", " [210, 44100, 9261000],\n", " [211, 44521, 9393931],\n", " [212, 44944, 9528128],\n", " [213, 45369, 9663597],\n", " [214, 45796, 9800344],\n", " [215, 46225, 9938375],\n", " [216, 46656, 10077696],\n", " [217, 47089, 10218313],\n", " [218, 47524, 10360232],\n", " [219, 47961, 10503459],\n", " [220, 48400, 10648000],\n", " [221, 48841, 10793861],\n", " [222, 49284, 10941048],\n", " [223, 49729, 11089567],\n", " [224, 50176, 11239424],\n", " [225, 50625, 11390625],\n", " [226, 51076, 11543176],\n", " [227, 51529, 11697083],\n", " [228, 51984, 11852352],\n", " [229, 52441, 12008989],\n", " [230, 52900, 12167000],\n", " [231, 53361, 12326391],\n", " [232, 53824, 12487168],\n", " [233, 54289, 12649337],\n", " [234, 54756, 12812904],\n", " [235, 55225, 12977875],\n", " [236, 55696, 13144256],\n", " [237, 56169, 13312053],\n", " [238, 56644, 13481272],\n", " [239, 57121, 13651919],\n", " [240, 57600, 13824000],\n", " [241, 58081, 13997521],\n", " [242, 58564, 14172488],\n", " [243, 59049, 14348907],\n", " [244, 59536, 14526784],\n", " [245, 60025, 14706125],\n", " [246, 60516, 14886936],\n", " [247, 61009, 15069223],\n", " [248, 61504, 15252992],\n", " [249, 62001, 15438249],\n", " [250, 62500, 15625000],\n", " [251, 63001, 15813251],\n", " [252, 63504, 16003008],\n", " [253, 64009, 16194277],\n", " [254, 64516, 16387064],\n", " [255, 65025, 16581375],\n", " [256, 65536, 16777216],\n", " [257, 66049, 16974593],\n", " [258, 66564, 17173512],\n", " [259, 67081, 17373979],\n", " [260, 67600, 17576000],\n", " [261, 68121, 17779581],\n", " [262, 68644, 17984728],\n", " [263, 69169, 18191447],\n", " [264, 69696, 18399744],\n", " [265, 70225, 18609625],\n", " [266, 70756, 18821096],\n", " [267, 71289, 19034163],\n", " [268, 71824, 19248832],\n", " [269, 72361, 19465109],\n", " [270, 72900, 19683000],\n", " [271, 73441, 19902511],\n", " [272, 73984, 20123648],\n", " [273, 74529, 20346417],\n", " [274, 75076, 20570824],\n", " [275, 75625, 20796875],\n", " [276, 76176, 21024576],\n", " [277, 76729, 21253933],\n", " [278, 77284, 21484952],\n", " [279, 77841, 21717639],\n", " [280, 78400, 21952000],\n", " [281, 78961, 22188041],\n", " [282, 79524, 22425768],\n", " [283, 80089, 22665187],\n", " [284, 80656, 22906304],\n", " [285, 81225, 23149125],\n", " [286, 81796, 23393656],\n", " [287, 82369, 23639903],\n", " [288, 82944, 23887872],\n", " [289, 83521, 24137569],\n", " [290, 84100, 24389000],\n", " [291, 84681, 24642171],\n", " [292, 85264, 24897088],\n", " [293, 85849, 25153757],\n", " [294, 86436, 25412184],\n", " [295, 87025, 25672375],\n", " [296, 87616, 25934336],\n", " [297, 88209, 26198073],\n", " [298, 88804, 26463592],\n", " [299, 89401, 26730899],\n", " [300, 90000, 27000000],\n", " [301, 90601, 27270901],\n", " [302, 91204, 27543608],\n", " [303, 91809, 27818127],\n", " [304, 92416, 28094464],\n", " [305, 93025, 28372625],\n", " [306, 93636, 28652616],\n", " [307, 94249, 28934443],\n", " [308, 94864, 29218112],\n", " [309, 95481, 29503629],\n", " [310, 96100, 29791000],\n", " [311, 96721, 30080231],\n", " [312, 97344, 30371328],\n", " [313, 97969, 30664297],\n", " [314, 98596, 30959144],\n", " [315, 99225, 31255875],\n", " [316, 99856, 31554496],\n", " [317, 100489, 31855013],\n", " [318, 101124, 32157432],\n", " [319, 101761, 32461759],\n", " [320, 102400, 32768000],\n", " [321, 103041, 33076161],\n", " [322, 103684, 33386248],\n", " [323, 104329, 33698267],\n", " [324, 104976, 34012224],\n", " [325, 105625, 34328125],\n", " [326, 106276, 34645976],\n", " [327, 106929, 34965783],\n", " [328, 107584, 35287552],\n", " [329, 108241, 35611289],\n", " [330, 108900, 35937000],\n", " [331, 109561, 36264691],\n", " [332, 110224, 36594368],\n", " [333, 110889, 36926037],\n", " [334, 111556, 37259704],\n", " [335, 112225, 37595375],\n", " [336, 112896, 37933056],\n", " [337, 113569, 38272753],\n", " [338, 114244, 38614472],\n", " [339, 114921, 38958219],\n", " [340, 115600, 39304000],\n", " [341, 116281, 39651821],\n", " [342, 116964, 40001688],\n", " [343, 117649, 40353607],\n", " [344, 118336, 40707584],\n", " [345, 119025, 41063625],\n", " [346, 119716, 41421736],\n", " [347, 120409, 41781923],\n", " [348, 121104, 42144192],\n", " [349, 121801, 42508549],\n", " [350, 122500, 42875000],\n", " [351, 123201, 43243551],\n", " [352, 123904, 43614208],\n", " [353, 124609, 43986977],\n", " [354, 125316, 44361864],\n", " [355, 126025, 44738875],\n", " [356, 126736, 45118016],\n", " [357, 127449, 45499293],\n", " [358, 128164, 45882712],\n", " [359, 128881, 46268279],\n", " [360, 129600, 46656000],\n", " [361, 130321, 47045881],\n", " [362, 131044, 47437928],\n", " [363, 131769, 47832147],\n", " [364, 132496, 48228544],\n", " [365, 133225, 48627125],\n", " [366, 133956, 49027896],\n", " [367, 134689, 49430863],\n", " [368, 135424, 49836032],\n", " [369, 136161, 50243409],\n", " [370, 136900, 50653000],\n", " [371, 137641, 51064811],\n", " [372, 138384, 51478848],\n", " [373, 139129, 51895117],\n", " [374, 139876, 52313624],\n", " [375, 140625, 52734375],\n", " [376, 141376, 53157376],\n", " [377, 142129, 53582633],\n", " [378, 142884, 54010152],\n", " [379, 143641, 54439939],\n", " [380, 144400, 54872000],\n", " [381, 145161, 55306341],\n", " [382, 145924, 55742968],\n", " [383, 146689, 56181887],\n", " [384, 147456, 56623104],\n", " [385, 148225, 57066625],\n", " [386, 148996, 57512456],\n", " [387, 149769, 57960603],\n", " [388, 150544, 58411072],\n", " [389, 151321, 58863869],\n", " [390, 152100, 59319000],\n", " [391, 152881, 59776471],\n", " [392, 153664, 60236288],\n", " [393, 154449, 60698457],\n", " [394, 155236, 61162984],\n", " [395, 156025, 61629875],\n", " [396, 156816, 62099136],\n", " [397, 157609, 62570773],\n", " [398, 158404, 63044792],\n", " [399, 159201, 63521199],\n", " [400, 160000, 64000000],\n", " [401, 160801, 64481201],\n", " [402, 161604, 64964808],\n", " [403, 162409, 65450827],\n", " [404, 163216, 65939264],\n", " [405, 164025, 66430125],\n", " [406, 164836, 66923416],\n", " [407, 165649, 67419143],\n", " [408, 166464, 67917312],\n", " [409, 167281, 68417929],\n", " [410, 168100, 68921000],\n", " [411, 168921, 69426531],\n", " [412, 169744, 69934528],\n", " [413, 170569, 70444997],\n", " [414, 171396, 70957944],\n", " [415, 172225, 71473375],\n", " [416, 173056, 71991296],\n", " [417, 173889, 72511713],\n", " [418, 174724, 73034632],\n", " [419, 175561, 73560059],\n", " [420, 176400, 74088000],\n", " [421, 177241, 74618461],\n", " [422, 178084, 75151448],\n", " [423, 178929, 75686967],\n", " [424, 179776, 76225024],\n", " [425, 180625, 76765625],\n", " [426, 181476, 77308776],\n", " [427, 182329, 77854483],\n", " [428, 183184, 78402752],\n", " [429, 184041, 78953589],\n", " [430, 184900, 79507000],\n", " [431, 185761, 80062991],\n", " [432, 186624, 80621568],\n", " [433, 187489, 81182737],\n", " [434, 188356, 81746504],\n", " [435, 189225, 82312875],\n", " [436, 190096, 82881856],\n", " [437, 190969, 83453453],\n", " [438, 191844, 84027672],\n", " [439, 192721, 84604519],\n", " [440, 193600, 85184000],\n", " [441, 194481, 85766121],\n", " [442, 195364, 86350888],\n", " [443, 196249, 86938307],\n", " [444, 197136, 87528384],\n", " [445, 198025, 88121125],\n", " [446, 198916, 88716536],\n", " [447, 199809, 89314623],\n", " [448, 200704, 89915392],\n", " [449, 201601, 90518849],\n", " [450, 202500, 91125000],\n", " [451, 203401, 91733851],\n", " [452, 204304, 92345408],\n", " [453, 205209, 92959677],\n", " [454, 206116, 93576664],\n", " [455, 207025, 94196375],\n", " [456, 207936, 94818816],\n", " [457, 208849, 95443993],\n", " [458, 209764, 96071912],\n", " [459, 210681, 96702579],\n", " [460, 211600, 97336000],\n", " [461, 212521, 97972181],\n", " [462, 213444, 98611128],\n", " [463, 214369, 99252847],\n", " [464, 215296, 99897344],\n", " [465, 216225, 100544625],\n", " [466, 217156, 101194696],\n", " [467, 218089, 101847563],\n", " [468, 219024, 102503232],\n", " [469, 219961, 103161709],\n", " [470, 220900, 103823000],\n", " [471, 221841, 104487111],\n", " [472, 222784, 105154048],\n", " [473, 223729, 105823817],\n", " [474, 224676, 106496424],\n", " [475, 225625, 107171875],\n", " [476, 226576, 107850176],\n", " [477, 227529, 108531333],\n", " [478, 228484, 109215352],\n", " [479, 229441, 109902239],\n", " [480, 230400, 110592000],\n", " [481, 231361, 111284641],\n", " [482, 232324, 111980168],\n", " [483, 233289, 112678587],\n", " [484, 234256, 113379904],\n", " [485, 235225, 114084125],\n", " [486, 236196, 114791256],\n", " [487, 237169, 115501303],\n", " [488, 238144, 116214272],\n", " [489, 239121, 116930169],\n", " [490, 240100, 117649000],\n", " [491, 241081, 118370771],\n", " [492, 242064, 119095488],\n", " [493, 243049, 119823157],\n", " [494, 244036, 120553784],\n", " [495, 245025, 121287375],\n", " [496, 246016, 122023936],\n", " [497, 247009, 122763473],\n", " [498, 248004, 123505992],\n", " [499, 249001, 124251499],\n", " [500, 250000, 125000000],\n", " [501, 251001, 125751501],\n", " [502, 252004, 126506008],\n", " [503, 253009, 127263527],\n", " [504, 254016, 128024064],\n", " [505, 255025, 128787625],\n", " [506, 256036, 129554216],\n", " [507, 257049, 130323843],\n", " [508, 258064, 131096512],\n", " [509, 259081, 131872229],\n", " [510, 260100, 132651000],\n", " [511, 261121, 133432831],\n", " [512, 262144, 134217728],\n", " [513, 263169, 135005697],\n", " [514, 264196, 135796744],\n", " [515, 265225, 136590875],\n", " [516, 266256, 137388096],\n", " [517, 267289, 138188413],\n", " [518, 268324, 138991832],\n", " [519, 269361, 139798359],\n", " [520, 270400, 140608000],\n", " [521, 271441, 141420761],\n", " [522, 272484, 142236648],\n", " [523, 273529, 143055667],\n", " [524, 274576, 143877824],\n", " [525, 275625, 144703125],\n", " [526, 276676, 145531576],\n", " [527, 277729, 146363183],\n", " [528, 278784, 147197952],\n", " [529, 279841, 148035889],\n", " [530, 280900, 148877000],\n", " [531, 281961, 149721291],\n", " [532, 283024, 150568768],\n", " [533, 284089, 151419437],\n", " [534, 285156, 152273304],\n", " [535, 286225, 153130375],\n", " [536, 287296, 153990656],\n", " [537, 288369, 154854153],\n", " [538, 289444, 155720872],\n", " [539, 290521, 156590819],\n", " [540, 291600, 157464000],\n", " [541, 292681, 158340421],\n", " [542, 293764, 159220088],\n", " [543, 294849, 160103007],\n", " [544, 295936, 160989184],\n", " [545, 297025, 161878625],\n", " [546, 298116, 162771336],\n", " [547, 299209, 163667323],\n", " [548, 300304, 164566592],\n", " [549, 301401, 165469149],\n", " [550, 302500, 166375000],\n", " [551, 303601, 167284151],\n", " [552, 304704, 168196608],\n", " [553, 305809, 169112377],\n", " [554, 306916, 170031464],\n", " [555, 308025, 170953875],\n", " [556, 309136, 171879616],\n", " [557, 310249, 172808693],\n", " [558, 311364, 173741112],\n", " [559, 312481, 174676879],\n", " [560, 313600, 175616000],\n", " [561, 314721, 176558481],\n", " [562, 315844, 177504328],\n", " [563, 316969, 178453547],\n", " [564, 318096, 179406144],\n", " [565, 319225, 180362125],\n", " [566, 320356, 181321496],\n", " [567, 321489, 182284263],\n", " [568, 322624, 183250432],\n", " [569, 323761, 184220009],\n", " [570, 324900, 185193000],\n", " [571, 326041, 186169411],\n", " [572, 327184, 187149248],\n", " [573, 328329, 188132517],\n", " [574, 329476, 189119224],\n", " [575, 330625, 190109375],\n", " [576, 331776, 191102976],\n", " [577, 332929, 192100033],\n", " [578, 334084, 193100552],\n", " [579, 335241, 194104539],\n", " [580, 336400, 195112000],\n", " [581, 337561, 196122941],\n", " [582, 338724, 197137368],\n", " [583, 339889, 198155287],\n", " [584, 341056, 199176704],\n", " [585, 342225, 200201625],\n", " [586, 343396, 201230056],\n", " [587, 344569, 202262003],\n", " [588, 345744, 203297472],\n", " [589, 346921, 204336469],\n", " [590, 348100, 205379000],\n", " [591, 349281, 206425071],\n", " [592, 350464, 207474688],\n", " [593, 351649, 208527857],\n", " [594, 352836, 209584584],\n", " [595, 354025, 210644875],\n", " [596, 355216, 211708736],\n", " [597, 356409, 212776173],\n", " [598, 357604, 213847192],\n", " [599, 358801, 214921799],\n", " [600, 360000, 216000000],\n", " [601, 361201, 217081801],\n", " [602, 362404, 218167208],\n", " [603, 363609, 219256227],\n", " [604, 364816, 220348864],\n", " [605, 366025, 221445125],\n", " [606, 367236, 222545016],\n", " [607, 368449, 223648543],\n", " [608, 369664, 224755712],\n", " [609, 370881, 225866529],\n", " [610, 372100, 226981000],\n", " [611, 373321, 228099131],\n", " [612, 374544, 229220928],\n", " [613, 375769, 230346397],\n", " [614, 376996, 231475544],\n", " [615, 378225, 232608375],\n", " [616, 379456, 233744896],\n", " [617, 380689, 234885113],\n", " [618, 381924, 236029032],\n", " [619, 383161, 237176659],\n", " [620, 384400, 238328000],\n", " [621, 385641, 239483061],\n", " [622, 386884, 240641848],\n", " [623, 388129, 241804367],\n", " [624, 389376, 242970624],\n", " [625, 390625, 244140625],\n", " [626, 391876, 245314376],\n", " [627, 393129, 246491883],\n", " [628, 394384, 247673152],\n", " [629, 395641, 248858189],\n", " [630, 396900, 250047000],\n", " [631, 398161, 251239591],\n", " [632, 399424, 252435968],\n", " [633, 400689, 253636137],\n", " [634, 401956, 254840104],\n", " [635, 403225, 256047875],\n", " [636, 404496, 257259456],\n", " [637, 405769, 258474853],\n", " [638, 407044, 259694072],\n", " [639, 408321, 260917119],\n", " [640, 409600, 262144000],\n", " [641, 410881, 263374721],\n", " [642, 412164, 264609288],\n", " [643, 413449, 265847707],\n", " [644, 414736, 267089984],\n", " [645, 416025, 268336125],\n", " [646, 417316, 269586136],\n", " [647, 418609, 270840023],\n", " [648, 419904, 272097792],\n", " [649, 421201, 273359449],\n", " [650, 422500, 274625000],\n", " [651, 423801, 275894451],\n", " [652, 425104, 277167808],\n", " [653, 426409, 278445077],\n", " [654, 427716, 279726264],\n", " [655, 429025, 281011375],\n", " [656, 430336, 282300416],\n", " [657, 431649, 283593393],\n", " [658, 432964, 284890312],\n", " [659, 434281, 286191179],\n", " [660, 435600, 287496000],\n", " [661, 436921, 288804781],\n", " [662, 438244, 290117528],\n", " [663, 439569, 291434247],\n", " [664, 440896, 292754944],\n", " [665, 442225, 294079625],\n", " [666, 443556, 295408296],\n", " [667, 444889, 296740963],\n", " [668, 446224, 298077632],\n", " [669, 447561, 299418309],\n", " [670, 448900, 300763000],\n", " [671, 450241, 302111711],\n", " [672, 451584, 303464448],\n", " [673, 452929, 304821217],\n", " [674, 454276, 306182024],\n", " [675, 455625, 307546875],\n", " [676, 456976, 308915776],\n", " [677, 458329, 310288733],\n", " [678, 459684, 311665752],\n", " [679, 461041, 313046839],\n", " [680, 462400, 314432000],\n", " [681, 463761, 315821241],\n", " [682, 465124, 317214568],\n", " [683, 466489, 318611987],\n", " [684, 467856, 320013504],\n", " [685, 469225, 321419125],\n", " [686, 470596, 322828856],\n", " [687, 471969, 324242703],\n", " [688, 473344, 325660672],\n", " [689, 474721, 327082769],\n", " [690, 476100, 328509000],\n", " [691, 477481, 329939371],\n", " [692, 478864, 331373888],\n", " [693, 480249, 332812557],\n", " [694, 481636, 334255384],\n", " [695, 483025, 335702375],\n", " [696, 484416, 337153536],\n", " [697, 485809, 338608873],\n", " [698, 487204, 340068392],\n", " [699, 488601, 341532099],\n", " [700, 490000, 343000000],\n", " [701, 491401, 344472101],\n", " [702, 492804, 345948408],\n", " [703, 494209, 347428927],\n", " [704, 495616, 348913664],\n", " [705, 497025, 350402625],\n", " [706, 498436, 351895816],\n", " [707, 499849, 353393243],\n", " [708, 501264, 354894912],\n", " [709, 502681, 356400829],\n", " [710, 504100, 357911000],\n", " [711, 505521, 359425431],\n", " [712, 506944, 360944128],\n", " [713, 508369, 362467097],\n", " [714, 509796, 363994344],\n", " [715, 511225, 365525875],\n", " [716, 512656, 367061696],\n", " [717, 514089, 368601813],\n", " [718, 515524, 370146232],\n", " [719, 516961, 371694959],\n", " [720, 518400, 373248000],\n", " [721, 519841, 374805361],\n", " [722, 521284, 376367048],\n", " [723, 522729, 377933067],\n", " [724, 524176, 379503424],\n", " [725, 525625, 381078125],\n", " [726, 527076, 382657176],\n", " [727, 528529, 384240583],\n", " [728, 529984, 385828352],\n", " [729, 531441, 387420489],\n", " [730, 532900, 389017000],\n", " [731, 534361, 390617891],\n", " [732, 535824, 392223168],\n", " [733, 537289, 393832837],\n", " [734, 538756, 395446904],\n", " [735, 540225, 397065375],\n", " [736, 541696, 398688256],\n", " [737, 543169, 400315553],\n", " [738, 544644, 401947272],\n", " [739, 546121, 403583419],\n", " [740, 547600, 405224000],\n", " [741, 549081, 406869021],\n", " [742, 550564, 408518488],\n", " [743, 552049, 410172407],\n", " [744, 553536, 411830784],\n", " [745, 555025, 413493625],\n", " [746, 556516, 415160936],\n", " [747, 558009, 416832723],\n", " [748, 559504, 418508992],\n", " [749, 561001, 420189749],\n", " [750, 562500, 421875000],\n", " [751, 564001, 423564751],\n", " [752, 565504, 425259008],\n", " [753, 567009, 426957777],\n", " [754, 568516, 428661064],\n", " [755, 570025, 430368875],\n", " [756, 571536, 432081216],\n", " [757, 573049, 433798093],\n", " [758, 574564, 435519512],\n", " [759, 576081, 437245479],\n", " [760, 577600, 438976000],\n", " [761, 579121, 440711081],\n", " [762, 580644, 442450728],\n", " [763, 582169, 444194947],\n", " [764, 583696, 445943744],\n", " [765, 585225, 447697125],\n", " [766, 586756, 449455096],\n", " [767, 588289, 451217663],\n", " [768, 589824, 452984832],\n", " [769, 591361, 454756609],\n", " [770, 592900, 456533000],\n", " [771, 594441, 458314011],\n", " [772, 595984, 460099648],\n", " [773, 597529, 461889917],\n", " [774, 599076, 463684824],\n", " [775, 600625, 465484375],\n", " [776, 602176, 467288576],\n", " [777, 603729, 469097433],\n", " [778, 605284, 470910952],\n", " [779, 606841, 472729139],\n", " [780, 608400, 474552000],\n", " [781, 609961, 476379541],\n", " [782, 611524, 478211768],\n", " [783, 613089, 480048687],\n", " [784, 614656, 481890304],\n", " [785, 616225, 483736625],\n", " [786, 617796, 485587656],\n", " [787, 619369, 487443403],\n", " [788, 620944, 489303872],\n", " [789, 622521, 491169069],\n", " [790, 624100, 493039000],\n", " [791, 625681, 494913671],\n", " [792, 627264, 496793088],\n", " [793, 628849, 498677257],\n", " [794, 630436, 500566184],\n", " [795, 632025, 502459875],\n", " [796, 633616, 504358336],\n", " [797, 635209, 506261573],\n", " [798, 636804, 508169592],\n", " [799, 638401, 510082399],\n", " [800, 640000, 512000000],\n", " [801, 641601, 513922401],\n", " [802, 643204, 515849608],\n", " [803, 644809, 517781627],\n", " [804, 646416, 519718464],\n", " [805, 648025, 521660125],\n", " [806, 649636, 523606616],\n", " [807, 651249, 525557943],\n", " [808, 652864, 527514112],\n", " [809, 654481, 529475129],\n", " [810, 656100, 531441000],\n", " [811, 657721, 533411731],\n", " [812, 659344, 535387328],\n", " [813, 660969, 537367797],\n", " [814, 662596, 539353144],\n", " [815, 664225, 541343375],\n", " [816, 665856, 543338496],\n", " [817, 667489, 545338513],\n", " [818, 669124, 547343432],\n", " [819, 670761, 549353259],\n", " [820, 672400, 551368000],\n", " [821, 674041, 553387661],\n", " [822, 675684, 555412248],\n", " [823, 677329, 557441767],\n", " [824, 678976, 559476224],\n", " [825, 680625, 561515625],\n", " [826, 682276, 563559976],\n", " [827, 683929, 565609283],\n", " [828, 685584, 567663552],\n", " [829, 687241, 569722789],\n", " [830, 688900, 571787000],\n", " [831, 690561, 573856191],\n", " [832, 692224, 575930368],\n", " [833, 693889, 578009537],\n", " [834, 695556, 580093704],\n", " [835, 697225, 582182875],\n", " [836, 698896, 584277056],\n", " [837, 700569, 586376253],\n", " [838, 702244, 588480472],\n", " [839, 703921, 590589719],\n", " [840, 705600, 592704000],\n", " [841, 707281, 594823321],\n", " [842, 708964, 596947688],\n", " [843, 710649, 599077107],\n", " [844, 712336, 601211584],\n", " [845, 714025, 603351125],\n", " [846, 715716, 605495736],\n", " [847, 717409, 607645423],\n", " [848, 719104, 609800192],\n", " [849, 720801, 611960049],\n", " [850, 722500, 614125000],\n", " [851, 724201, 616295051],\n", " [852, 725904, 618470208],\n", " [853, 727609, 620650477],\n", " [854, 729316, 622835864],\n", " [855, 731025, 625026375],\n", " [856, 732736, 627222016],\n", " [857, 734449, 629422793],\n", " [858, 736164, 631628712],\n", " [859, 737881, 633839779],\n", " [860, 739600, 636056000],\n", " [861, 741321, 638277381],\n", " [862, 743044, 640503928],\n", " [863, 744769, 642735647],\n", " [864, 746496, 644972544],\n", " [865, 748225, 647214625],\n", " [866, 749956, 649461896],\n", " [867, 751689, 651714363],\n", " [868, 753424, 653972032],\n", " [869, 755161, 656234909],\n", " [870, 756900, 658503000],\n", " [871, 758641, 660776311],\n", " [872, 760384, 663054848],\n", " [873, 762129, 665338617],\n", " [874, 763876, 667627624],\n", " [875, 765625, 669921875],\n", " [876, 767376, 672221376],\n", " [877, 769129, 674526133],\n", " [878, 770884, 676836152],\n", " [879, 772641, 679151439],\n", " [880, 774400, 681472000],\n", " [881, 776161, 683797841],\n", " [882, 777924, 686128968],\n", " [883, 779689, 688465387],\n", " [884, 781456, 690807104],\n", " [885, 783225, 693154125],\n", " [886, 784996, 695506456],\n", " [887, 786769, 697864103],\n", " [888, 788544, 700227072],\n", " [889, 790321, 702595369],\n", " [890, 792100, 704969000],\n", " [891, 793881, 707347971],\n", " [892, 795664, 709732288],\n", " [893, 797449, 712121957],\n", " [894, 799236, 714516984],\n", " [895, 801025, 716917375],\n", " [896, 802816, 719323136],\n", " [897, 804609, 721734273],\n", " [898, 806404, 724150792],\n", " [899, 808201, 726572699],\n", " [900, 810000, 729000000],\n", " [901, 811801, 731432701],\n", " [902, 813604, 733870808],\n", " [903, 815409, 736314327],\n", " [904, 817216, 738763264],\n", " [905, 819025, 741217625],\n", " [906, 820836, 743677416],\n", " [907, 822649, 746142643],\n", " [908, 824464, 748613312],\n", " [909, 826281, 751089429],\n", " [910, 828100, 753571000],\n", " [911, 829921, 756058031],\n", " [912, 831744, 758550528],\n", " [913, 833569, 761048497],\n", " [914, 835396, 763551944],\n", " [915, 837225, 766060875],\n", " [916, 839056, 768575296],\n", " [917, 840889, 771095213],\n", " [918, 842724, 773620632],\n", " [919, 844561, 776151559],\n", " [920, 846400, 778688000],\n", " [921, 848241, 781229961],\n", " [922, 850084, 783777448],\n", " [923, 851929, 786330467],\n", " [924, 853776, 788889024],\n", " [925, 855625, 791453125],\n", " [926, 857476, 794022776],\n", " [927, 859329, 796597983],\n", " [928, 861184, 799178752],\n", " [929, 863041, 801765089],\n", " [930, 864900, 804357000],\n", " [931, 866761, 806954491],\n", " [932, 868624, 809557568],\n", " [933, 870489, 812166237],\n", " [934, 872356, 814780504],\n", " [935, 874225, 817400375],\n", " [936, 876096, 820025856],\n", " [937, 877969, 822656953],\n", " [938, 879844, 825293672],\n", " [939, 881721, 827936019],\n", " [940, 883600, 830584000],\n", " [941, 885481, 833237621],\n", " [942, 887364, 835896888],\n", " [943, 889249, 838561807],\n", " [944, 891136, 841232384],\n", " [945, 893025, 843908625],\n", " [946, 894916, 846590536],\n", " [947, 896809, 849278123],\n", " [948, 898704, 851971392],\n", " [949, 900601, 854670349],\n", " [950, 902500, 857375000],\n", " [951, 904401, 860085351],\n", " [952, 906304, 862801408],\n", " [953, 908209, 865523177],\n", " [954, 910116, 868250664],\n", " [955, 912025, 870983875],\n", " [956, 913936, 873722816],\n", " [957, 915849, 876467493],\n", " [958, 917764, 879217912],\n", " [959, 919681, 881974079],\n", " [960, 921600, 884736000],\n", " [961, 923521, 887503681],\n", " [962, 925444, 890277128],\n", " [963, 927369, 893056347],\n", " [964, 929296, 895841344],\n", " [965, 931225, 898632125],\n", " [966, 933156, 901428696],\n", " [967, 935089, 904231063],\n", " [968, 937024, 907039232],\n", " [969, 938961, 909853209],\n", " [970, 940900, 912673000],\n", " [971, 942841, 915498611],\n", " [972, 944784, 918330048],\n", " [973, 946729, 921167317],\n", " [974, 948676, 924010424],\n", " [975, 950625, 926859375],\n", " [976, 952576, 929714176],\n", " [977, 954529, 932574833],\n", " [978, 956484, 935441352],\n", " [979, 958441, 938313739],\n", " [980, 960400, 941192000],\n", " [981, 962361, 944076141],\n", " [982, 964324, 946966168],\n", " [983, 966289, 949862087],\n", " [984, 968256, 952763904],\n", " [985, 970225, 955671625],\n", " [986, 972196, 958585256],\n", " [987, 974169, 961504803],\n", " [988, 976144, 964430272],\n", " [989, 978121, 967361669],\n", " [990, 980100, 970299000],\n", " [991, 982081, 973242271],\n", " [992, 984064, 976191488],\n", " [993, 986049, 979146657],\n", " [994, 988036, 982107784],\n", " [995, 990025, 985074875],\n", " [996, 992016, 988047936],\n", " [997, 994009, 991026973],\n", " [998, 996004, 994011992],\n", " [999, 998001, 997002999],\n", " ...]" ] }, "execution_count": 60, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# 读取数据\n", "data = []\n", "with open('../data/data_write_to_file.txt','r') as f:\n", " for line in f:\n", " line = line.replace('\\n', '').split('\\t')\n", " line = [int(i) for i in line]\n", " data.append(line)\n", "data\n" ] }, { "cell_type": "code", "execution_count": 103, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:25:55.281024Z", "start_time": "2021-05-14T07:25:55.278651Z" }, "slideshow": { "slide_type": "slide" } }, "outputs": [], "source": [ "import pandas as pd" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "ExecuteTime": { "end_time": "2017-05-11T22:18:54.212496", "start_time": "2017-05-11T22:18:54.207441" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Help on function read_csv in module pandas.io.parsers:\n", "\n", "read_csv(filepath_or_buffer, sep=',', delimiter=None, header='infer', names=None, index_col=None, usecols=None, squeeze=False, prefix=None, mangle_dupe_cols=True, dtype=None, engine=None, converters=None, true_values=None, false_values=None, skipinitialspace=False, skiprows=None, skipfooter=None, nrows=None, na_values=None, keep_default_na=True, na_filter=True, verbose=False, skip_blank_lines=True, parse_dates=False, infer_datetime_format=False, keep_date_col=False, date_parser=None, dayfirst=False, iterator=False, chunksize=None, compression='infer', thousands=None, decimal='.', lineterminator=None, quotechar='\"', quoting=0, escapechar=None, comment=None, encoding=None, dialect=None, tupleize_cols=False, error_bad_lines=True, warn_bad_lines=True, skip_footer=0, doublequote=True, delim_whitespace=False, as_recarray=False, compact_ints=False, use_unsigned=False, low_memory=True, buffer_lines=None, memory_map=False, float_precision=None)\n", " Read CSV (comma-separated) file into DataFrame\n", " \n", " Also supports optionally iterating or breaking of the file\n", " into chunks.\n", " \n", " Additional help can be found in the `online docs for IO Tools\n", " `_.\n", " \n", " Parameters\n", " ----------\n", " filepath_or_buffer : str, pathlib.Path, py._path.local.LocalPath or any object with a read() method (such as a file handle or StringIO)\n", " The string could be a URL. Valid URL schemes include http, ftp, s3, and\n", " file. For file URLs, a host is expected. For instance, a local file could\n", " be file ://localhost/path/to/table.csv\n", " sep : str, default ','\n", " Delimiter to use. If sep is None, will try to automatically determine\n", " this. Regular expressions are accepted and will force use of the python\n", " parsing engine and will ignore quotes in the data.\n", " delimiter : str, default None\n", " Alternative argument name for sep.\n", " header : int or list of ints, default 'infer'\n", " Row number(s) to use as the column names, and the start of the data.\n", " Default behavior is as if set to 0 if no ``names`` passed, otherwise\n", " ``None``. Explicitly pass ``header=0`` to be able to replace existing\n", " names. The header can be a list of integers that specify row locations for\n", " a multi-index on the columns e.g. [0,1,3]. Intervening rows that are not\n", " specified will be skipped (e.g. 2 in this example is skipped). Note that\n", " this parameter ignores commented lines and empty lines if\n", " ``skip_blank_lines=True``, so header=0 denotes the first line of data\n", " rather than the first line of the file.\n", " names : array-like, default None\n", " List of column names to use. If file contains no header row, then you\n", " should explicitly pass header=None\n", " index_col : int or sequence or False, default None\n", " Column to use as the row labels of the DataFrame. If a sequence is given, a\n", " MultiIndex is used. If you have a malformed file with delimiters at the end\n", " of each line, you might consider index_col=False to force pandas to _not_\n", " use the first column as the index (row names)\n", " usecols : array-like, default None\n", " Return a subset of the columns.\n", " Results in much faster parsing time and lower memory usage.\n", " squeeze : boolean, default False\n", " If the parsed data only contains one column then return a Series\n", " prefix : str, default None\n", " Prefix to add to column numbers when no header, e.g. 'X' for X0, X1, ...\n", " mangle_dupe_cols : boolean, default True\n", " Duplicate columns will be specified as 'X.0'...'X.N', rather than 'X'...'X'\n", " dtype : Type name or dict of column -> type, default None\n", " Data type for data or columns. E.g. {'a': np.float64, 'b': np.int32}\n", " (Unsupported with engine='python'). Use `str` or `object` to preserve and\n", " not interpret dtype.\n", " engine : {'c', 'python'}, optional\n", " Parser engine to use. The C engine is faster while the python engine is\n", " currently more feature-complete.\n", " converters : dict, default None\n", " Dict of functions for converting values in certain columns. Keys can either\n", " be integers or column labels\n", " true_values : list, default None\n", " Values to consider as True\n", " false_values : list, default None\n", " Values to consider as False\n", " skipinitialspace : boolean, default False\n", " Skip spaces after delimiter.\n", " skiprows : list-like or integer, default None\n", " Line numbers to skip (0-indexed) or number of lines to skip (int)\n", " at the start of the file\n", " skipfooter : int, default 0\n", " Number of lines at bottom of file to skip (Unsupported with engine='c')\n", " nrows : int, default None\n", " Number of rows of file to read. Useful for reading pieces of large files\n", " na_values : str or list-like or dict, default None\n", " Additional strings to recognize as NA/NaN. If dict passed, specific\n", " per-column NA values. By default the following values are interpreted as\n", " NaN: `''`, `'#N/A'`, `'#N/A N/A'`, `'#NA'`, `'-1.#IND'`, `'-1.#QNAN'`, `'-NaN'`, `'-nan'`, `'1.#IND'`, `'1.#QNAN'`, `'N/A'`, `'NA'`, `'NULL'`, `'NaN'`, `'nan'`.\n", " keep_default_na : bool, default True\n", " If na_values are specified and keep_default_na is False the default NaN\n", " values are overridden, otherwise they're appended to.\n", " na_filter : boolean, default True\n", " Detect missing value markers (empty strings and the value of na_values). In\n", " data without any NAs, passing na_filter=False can improve the performance\n", " of reading a large file\n", " verbose : boolean, default False\n", " Indicate number of NA values placed in non-numeric columns\n", " skip_blank_lines : boolean, default True\n", " If True, skip over blank lines rather than interpreting as NaN values\n", " parse_dates : boolean or list of ints or names or list of lists or dict, default False\n", " \n", " * boolean. If True -> try parsing the index.\n", " * list of ints or names. e.g. If [1, 2, 3] -> try parsing columns 1, 2, 3\n", " each as a separate date column.\n", " * list of lists. e.g. If [[1, 3]] -> combine columns 1 and 3 and parse as\n", " a single date column.\n", " * dict, e.g. {'foo' : [1, 3]} -> parse columns 1, 3 as date and call result\n", " 'foo'\n", " \n", " Note: A fast-path exists for iso8601-formatted dates.\n", " infer_datetime_format : boolean, default False\n", " If True and parse_dates is enabled for a column, attempt to infer\n", " the datetime format to speed up the processing\n", " keep_date_col : boolean, default False\n", " If True and parse_dates specifies combining multiple columns then\n", " keep the original columns.\n", " date_parser : function, default None\n", " Function to use for converting a sequence of string columns to an array of\n", " datetime instances. The default uses ``dateutil.parser.parser`` to do the\n", " conversion. Pandas will try to call date_parser in three different ways,\n", " advancing to the next if an exception occurs: 1) Pass one or more arrays\n", " (as defined by parse_dates) as arguments; 2) concatenate (row-wise) the\n", " string values from the columns defined by parse_dates into a single array\n", " and pass that; and 3) call date_parser once for each row using one or more\n", " strings (corresponding to the columns defined by parse_dates) as arguments.\n", " dayfirst : boolean, default False\n", " DD/MM format dates, international and European format\n", " iterator : boolean, default False\n", " Return TextFileReader object for iteration or getting chunks with\n", " ``get_chunk()``.\n", " chunksize : int, default None\n", " Return TextFileReader object for iteration. `See IO Tools docs for more\n", " information\n", " `_ on\n", " ``iterator`` and ``chunksize``.\n", " compression : {'infer', 'gzip', 'bz2', None}, default 'infer'\n", " For on-the-fly decompression of on-disk data. If 'infer', then use gzip or\n", " bz2 if filepath_or_buffer is a string ending in '.gz' or '.bz2',\n", " respectively, and no decompression otherwise. Set to None for no\n", " decompression.\n", " thousands : str, default None\n", " Thousands separator\n", " decimal : str, default '.'\n", " Character to recognize as decimal point (e.g. use ',' for European data).\n", " lineterminator : str (length 1), default None\n", " Character to break file into lines. Only valid with C parser.\n", " quotechar : str (length 1), optional\n", " The character used to denote the start and end of a quoted item. Quoted\n", " items can include the delimiter and it will be ignored.\n", " quoting : int or csv.QUOTE_* instance, default None\n", " Control field quoting behavior per ``csv.QUOTE_*`` constants. Use one of\n", " QUOTE_MINIMAL (0), QUOTE_ALL (1), QUOTE_NONNUMERIC (2) or QUOTE_NONE (3).\n", " Default (None) results in QUOTE_MINIMAL behavior.\n", " escapechar : str (length 1), default None\n", " One-character string used to escape delimiter when quoting is QUOTE_NONE.\n", " comment : str, default None\n", " Indicates remainder of line should not be parsed. If found at the beginning\n", " of a line, the line will be ignored altogether. This parameter must be a\n", " single character. Like empty lines (as long as ``skip_blank_lines=True``),\n", " fully commented lines are ignored by the parameter `header` but not by\n", " `skiprows`. For example, if comment='#', parsing '#empty\\na,b,c\\n1,2,3'\n", " with `header=0` will result in 'a,b,c' being\n", " treated as the header.\n", " encoding : str, default None\n", " Encoding to use for UTF when reading/writing (ex. 'utf-8'). `List of Python\n", " standard encodings\n", " `_\n", " dialect : str or csv.Dialect instance, default None\n", " If None defaults to Excel dialect. Ignored if sep longer than 1 char\n", " See csv.Dialect documentation for more details\n", " tupleize_cols : boolean, default False\n", " Leave a list of tuples on columns as is (default is to convert to\n", " a Multi Index on the columns)\n", " error_bad_lines : boolean, default True\n", " Lines with too many fields (e.g. a csv line with too many commas) will by\n", " default cause an exception to be raised, and no DataFrame will be returned.\n", " If False, then these \"bad lines\" will dropped from the DataFrame that is\n", " returned. (Only valid with C parser)\n", " warn_bad_lines : boolean, default True\n", " If error_bad_lines is False, and warn_bad_lines is True, a warning for each\n", " \"bad line\" will be output. (Only valid with C parser).\n", " \n", " Returns\n", " -------\n", " result : DataFrame or TextParser\n", "\n" ] } ], "source": [ "help(pd.read_csv)" ] }, { "cell_type": "code", "execution_count": 105, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:26:33.625857Z", "start_time": "2021-05-14T07:26:33.614527Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "text/html": [ "
\n", "\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
abc
0000
1111
2248
33927
441664
\n", "
" ], "text/plain": [ " a b c\n", "0 0 0 0\n", "1 1 1 1\n", "2 2 4 8\n", "3 3 9 27\n", "4 4 16 64" ] }, "execution_count": 105, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df = pd.read_csv('data_write_to_file1.txt', \n", " sep = '\\t', names = ['a', 'b', 'c'])\n", "df[:5]" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## 保存中间步骤产生的字典数据" ] }, { "cell_type": "code", "execution_count": 109, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:29:01.225030Z", "start_time": "2021-05-14T07:29:01.219271Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [], "source": [ "import json\n", "data_dict = {'a':1, 'b':2, 'c':3}\n", "with open('./data/save_dict.json', 'w') as f:\n", " json.dump(data_dict, f)" ] }, { "cell_type": "code", "execution_count": 111, "metadata": { "ExecuteTime": { "end_time": "2021-05-14T07:29:06.950639Z", "start_time": "2021-05-14T07:29:06.946670Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "{'a': 1, 'b': 2, 'c': 3}" ] }, "execution_count": 111, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dd = json.load(open(\"./data/save_dict.json\"))\n", "dd" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "fragment" } }, "source": [ "## 重新读入json" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## 保存中间步骤产生的列表数据" ] }, { "cell_type": "code", "execution_count": 71, "metadata": { "ExecuteTime": { "end_time": "2018-04-27T07:11:56.415515Z", "start_time": "2018-04-27T07:11:56.411564Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [], "source": [ "data_list = list(range(10))\n", "with open('../data/save_list.json', 'w') as f:\n", " json.dump(data_list, f)" ] }, { "cell_type": "code", "execution_count": 72, "metadata": { "ExecuteTime": { "end_time": "2018-04-27T07:12:32.842754Z", "start_time": "2018-04-27T07:12:32.837820Z" }, "slideshow": { "slide_type": "fragment" } }, "outputs": [ { "data": { "text/plain": [ "[0, 1, 2, 3, 4, 5, 6, 7, 8, 9]" ] }, "execution_count": 72, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dl = json.load(open(\"../data/save_list.json\"))\n", "dl" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## 使用matplotlib绘图" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:31:51.190898Z", "start_time": "2019-06-07T08:31:50.419496Z" }, "code_folding": [ 0 ], "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#\n", "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "x = range(1, 100)\n", "y = [i**-3 for i in x]\n", "plt.plot(x, y, 'b-s')\n", "plt.ylabel('$p(k)$', fontsize = 20)\n", "plt.xlabel('$k$', fontsize = 20)\n", "plt.xscale('log')\n", "plt.yscale('log')\n", "plt.title('Degree Distribution')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:32:20.805797Z", "start_time": "2019-06-07T08:32:20.592198Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import numpy as np\n", "# red dashes, blue squares and green triangles\n", "t = np.arange(0., 5., 0.2)\n", "plt.plot(t, t, 'r--')\n", "plt.plot(t, t**2, 'bs')\n", "plt.plot(t, t**3, 'g^')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:33:26.540992Z", "start_time": "2019-06-07T08:33:26.292284Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# red dashes, blue squares and green triangles\n", "t = np.arange(0., 5., 0.2)\n", "plt.plot(t, t**2, 'b-s', label = '1')\n", "plt.plot(t, t**2.5, 'r-o', label = '2')\n", "plt.plot(t, t**3, 'g-^', label = '3')\n", "plt.annotate(r'$\\alpha = 3$', xy=(3.5, 40), xytext=(2, 80),\n", " arrowprops=dict(facecolor='black', shrink=0.05),\n", " fontsize = 20)\n", "plt.ylabel('$f(t)$', fontsize = 20)\n", "plt.xlabel('$t$', fontsize = 20)\n", "plt.legend(loc=2,numpoints=1,fontsize=10)\n", "plt.show()\n", "# plt.savefig('/Users/chengjun/GitHub/cjc/figure/save_figure.png',\n", "# dpi = 300, bbox_inches=\"tight\",transparent = True)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import seaborn as sns\n", "plt.figure(figsize=(5,5))\n", "sns.set(style=\"whitegrid\")" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:34:00.646076Z", "start_time": "2019-06-07T08:34:00.215086Z" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAD8CAYAAAB5Pm/hAAAABHNCSVQICAgIfAhkiAAAAAlwSFlzAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDMuMC4xLCBodHRwOi8vbWF0cGxvdGxpYi5vcmcvDW2N/gAAIABJREFUeJzt3Xl4VOXZ+PHvHUiQNMXKYuA1kKggFaxCCdYK1P0Vgbrv1BdaFBBRQFQWfxYQ1/5sDdSlZRFRuFzBDfWnuIC1+lKCisoiKhfgkiCLLLIFyP3745lAlpkwk8zMOXPm/lzXuTLzzMw5z+CTO4/3uc9zRFUxxhgTXBled8AYY0xiWaA3xpiAs0BvjDEBZ4HeGGMCzgK9McYEnAV6Y4wJOAv0xhgTcBbojTEm4CzQG2NMwDX0ugMAzZs314KCAq+7YQJqyZIlG1W1hRfHtrFtEinase2LQF9QUEBxcbHX3TABJSJrvTq2jW2TSNGObUvdGGOMz7RsCSI1t5Yt67Y/C/TGGOMz69fH1n4oFuhNaisrgxdf9LoXxviaBXqTuv7zH/j1r+Gii+Czz7zujTG+ZYHepJ6dO2HkSPjtb2HrVpg3D371K697ZYxv+aLqxpiolZdD9+7w8ccweDDcfz80aeJ1r4zxNQv0JjVs2wY//zlkZMCoUa784LTTvO6VMQmRmxv+xGtubt32Z6kbE5Xnn3+eG2+8kR49etCkSRNEhD/84Q/JOfjLL8Pxx8OMGe75FVdYkDf1tmnTJqZNm8ZFF11E27Ztady4MYcffjjdu3dn+vTplJeXJ7wPkcooAVRrbqWldTuOzehNVO666y6WLl1KTk4OeXl5rFy5MvEH/eEHuOkmeOYZl4M/8cTEH9Okjeeee47rr7+eVq1accYZZ9CmTRvWr1/P3Llzufbaa3n99dd57rnnkIrImwDxLqOMxAK9icqDDz5IXl4ebdu2ZeHChZxxxhmJPeCLL8K118L27TBxItx2G2RlJfaYJq0cd9xxvPzyy/Tu3ZuMjIPJjXvuuYeTTz6ZOXPmMHfuXC655BIPexkflrrxqb1791JUVESnTp1o3LgxeXl5jBgxgrKyMnbu3Elubi59+/ZNWn/OOOMM2rVrl9DZTRVZWdCunTvp+n/+jwX5gPDTuD7zzDP5/e9/XyXIA7Rs2ZLBgwcDsGDBgqT0JdFsRu9DmzdvpmfPnixevJg+ffpw7rnnMm/ePIqKijjqqKPIyMhg8+bNTJgwweuuxk95OUyZ4k663nYb9OoF5513MGGZRCLSGngCyAUUmKKqk0SkKfAMUACsAS5X1R+T3sEUlUrjOjMzE4CGDYMRIoPxLQLmiiuuYPHixUyaNImbbroJgFtvvZW8vDxef/11li9fTv/+/Wnbtm3EfRQVFbFly5aoj9mpUycuvPDCeve9Tlatguuug/fec8H9lltcdY0HQT5kHzBSVT8SkZ8DS0RkPtAfeFtV7xOR0cBoYJRXnUw1qTKu9+3bxxNPPAFAz549Y/qsb6mq51uXLl3UOPPnz1dAe/TooeXl5VVea9++vQLaqFEjXbduXa37yc/PV9xsNKqtX79+Uffx3XffVUD79u1bl6940N69qvffr3rYYaqHH646fbpqte8cD0Cx1mN8Ai8B5wBfAK1Cba2ALw71WRvbTiqM6wojR45UQHv16hXzZ2OVmxuutsa1RyPasZ2wGb2INACKge9UtU+ijhM0Tz75JADDhw+vkQ8/7LDDABg0aBCtW7eudT9r1qxJSP/i6osvYOxY+P3v4eGH4b/+y+se1SAiBUBnYBGQq6oloZdKcakdE4VUGdeTJ0/mr3/9K7/85S8P9DkeWraMXBfv5g2JlciTscOAFQncfyAtXLiQzMzMiP/LmJ2dzdixY5PcqzjaswdeeME97tgRli6FuXP9GuRzgDnAcFXdVvm10Gwq7K+oiAwUkWIRKd6wYUMSeup/qTCuH3roIYYNG0aHDh149913adq0adz2nawyykgSMqMXkTygN3A3cHMijhFEu3btYt26dRx77LFkZ2dXeW316tWsXLmSU089ldwoLo/zZY7+ww9hwABYsQI+/dTVxnfsmNhj1pGIZOKC/GxVnRtqXi8irVS1RERaAT+E+6yqTgGmABQWFiZhvuZvqTCui4qKGDFiBCeccAJvv/02Rx55ZNTHSAWJSt0UAbcBP0/Q/gNp165dqGqNci+AESNGsGfPnqirAIqKili7NvobK/Xr1y9xgf6nn1yJ5OTJkJcHr73m60XIxOUWpgMrVPVvlV56GegH3Bf6+ZIH3Us5fh/X999/P6NHj6ZTp07Mnz+f5s2bR73/lBFNIj+WDegDPBJ6fDowL8L7BuJy+MVt2rSJ9RxGIJWXl2tOTo5mZGTo0qVLD7Q/8sgjB04ude3a1cMeOjGdjN2/X/Wkk9wZpiFDVLdtS3wHqyHGk7FA99C/96fAJ6GtF9AMeBv4EngLaHqofdnJWH+P6zvvvFMB7dKli27atClhxwm/oIHb6rff6Ma2aJzPBIjIvcA1uBK1w4AmwFxVjbgwSmFhodp9NZ0bb7yRhx56iBYtWnDZZZdRWlrKCy+8wPnnn8/WrVtZsGABgwYNYsCAAXTt2jVp/XrxxRd5MXSDj9LSUt544w2OOeYYevToAUDz5s154IEHDn5g61a3qqQIPPecOxsVem+yicgSVS304tg2th0/juuZM2fSv39/GjRowI033sjhhx9e4z0FBQX079+/3seqrVK4PiE46rEdzV+Dum7UMqOvvNms56Bdu3bpyJEjNS8vTxs2bKgtWrTQm2++WcvKynTRokUHStHefPPNpPZr3LhxtZax5efnH3zz3LmqLVuqTpuW1D5GQj3LK+uz2dh2/DiuDzWmAT3ttNPicqz6llFGEu3YjvuMvjIROR24RQ9RXmmznoBYvx6GDoXnn4dOnWD6dHcHKI/ZjN4kU22llHVdfTKSaMd2Qte6UdUFhwryJiDmzoUOHeCVV+Duuw/e5s+YNON1KWU4tgSCiY/Gjd2a8dOmwS9/6XVvjDGVWKA3dVNeDo8+6konR41ya9T07Onl+jTGmAhsmWITuy++cHd4GjoU/vUvF/TBgrwxPmWB3kRv71647z446SRYtgwef9zl5MNcCGOM8Q/7DTXRW7UK7rjDLUK2fDn062ezeJO2It3vNdK8p6439o4Hy9Gb2u3eDfPmwaWXunVpPv3UnXQ1Js1FqqIpL0/OipSxsBm9iezf/3b18JddBp995tosyBuTcizQm5q2b4cbb3RLFuzeDW+84etFyIwxtbPUjamqvBy6d3cz+BtvdBc/5eR43StjTD1YoDfOli1w+OHuTNIdd0CrVtCtm9e9MsbEgaVuDMyZ465mnT7dPb/0UgvyxoSkUnVNJBbo01lJCVxyiQvsRx0FhZ6s+2WMrx2quqb6Fu+Fy+LBAn26ev55twjZq6+6i6AWLXIVNsaYwLEcfbrKyXGVNNOmwXHHed0bY0wCWaBPF/v3w8MPu0XIxo51C5Cde65d2WpMGrDUTTpYscLVxA8b5lI0tgiZMWnFAn2Q7d3r6uA7dXIrTj75JLz4oi1CZkwYQaiuicRSN0G2ahWMHw8XXwx//zsceaTXPTLGt1Jp7ZpY2dQuaHbtgmefdY87doTPP4dnnrEgb0was0AfJP/6l0vTXHGFC/AA7dt72ydjjOcs0AfBtm1www3wu9+5vPxbb8EJJ3jdK2OMT1iOPtWVl7vlCpYtg+HD4a674Gc/87pXxhgfsUCfqn788eAiZOPHuyUMTjnF614ZkxJatox88rW6VKquicRSN6lG1Z1sbd/+4CJkl1xiQd6YGNQW5FNh7ZpYWaBPJd9/Dxdd5E625ufDb37jdY+MMSnAAn2qeO45twjZG2/AX/4CH34IJ57oda+MMSnAcvSpokkT6NwZpk6Ftm297o0xJoXYjN6v9u+HoiK3hAG4BcjeeceCfBKIyGMi8oOIfF6pramIzBeRL0M/j/CyjyY6kZY1SDcW6P1o2TJXMjliBBQX2yJkyfc40LNa22jgbVVtB7wdem58LtrKmgpBqLAJJ+6BXkRai8i7IrJcRJaJyLB4HyOwyspg4kSXovnqK5g1C+bOtUXIkkxV3wM2V2u+AJgZejwTuDCpnTJxlyp3h4qHROTo9wEjVfUjEfk5sERE5qvq8gQcK1i+/BLuvNPd2m/yZGjRwusemYNyVbUk9LgUCOjczwRR3KeKqlqiqh+FHm8HVgBHxfs4gbFzJzz1lHvcsaNL2zz1lAV5H1NVBSKuZygiA0WkWESKN2zYkMSeGRNeQnMCIlIAdAYWhXnNfhkWLHAlkldffXARMrutn1+tF5FWAKGfP0R6o6pOUdVCVS1sYX+wk8JOutYuYYFeRHKAOcBwVd1W/fW0/mXYuhUGDYIzznDP33nHFiHzv5eBfqHH/YCXPOyLqcZOutYuIXX0IpKJC/KzVXVuIo6RssrLoXt3WL4cRo50OfnsbK97ZSoRkaeA04HmIvItMA64D3hWRAYAa4HLveuhiUWq3zQkHuIe6EVEgOnAClX9W7z3n7I2b4Zf/MJV0Nx5p1uE7OSTve6VCUNVr4rw0llJ7YgxcZKI1E034BrgTBH5JLT1SsBxUoMqPP20W4Rs6lTXdtFFFuSNqQPLxddN3Gf0qvo+YP/0AN9+C0OGwCuvuMDerZvXPTImpcWaizeOXYmTKM8848ol33oL/vY3+OADO+FqTJKl20nXSGxRs0Q54ggoLIQpU+DYY73ujTGBZyddI7NAHy/79sGkSe4CqDvugP/+bzjnHEsgGlNHsdwFytTOUjfx8NlncOqpcMstsHTpwamFBXlj6syCfPxYoK+PPXtg3Dj49a9hzRpXXfPccxbgjUkyy8XXzlI39fH113DvvXDllfDgg9C8udc9MiYtWD4+Njajj9WOHTB7tnvcoQOsWAFPPmlB3pg6str4xLNAH4t33nGLkP3hD26VSbCKGmPqyXLxiWeBPhpbtsB118FZZ7klDBYscDXyxpiks3x87CxHfygVi5CtWAG33goTJkDjxl73ypi0YLn4+LBAH8nGjdC0qZvB33UX5OW5C6CMMXVidfHesdRNdaruXq3t27urWgEuvNCCvDH1ZEHeOxboK/vmG+jTB665xgX6007zukfGpC3LxcePpW4qPPWUu+vT/v1QVARDh0KDBl73ypiUU5cUjeXiE8sCfYXmzeGUU+Cf/4Sjj/a6N8akLEvR+E/6Bvp9+9zywbt3w5//7BYgO/tsu1LDGBM46ZmjX7oUfvMbGDUKPv/cFiEzpg7idUWr5eITL70C/Z49bgnhwkJ396dnn3U3CLEAb0zM6pKiUa25lZbGv2+mqvQK9F9/DfffD1dfDcuXw2WXWZA3xgRe8AP9Tz/BE0+4xx06wMqVMHMmNGvmbb+MSSHh0jSxshSNd4Id6N96C371K+jf/+AiZMcc42mXjElFdSmXtBSNfwQz0P/4I/zpT66SJisLFi60RciMiYItGRxMwSuvrFiE7IsvYMwYVzp52GFe98qYlBCPGnhL0fhPcAL9hg0u756R4e761Lo1dO7sda+M8aV4LjBmV7X6X+qnblTdydb27eEf/3Bt559vQd6YWtjVq+kltQP92rVw3nnQrx8cfzyceabXPTLGVxKdc7c0TWpI3dTN7NkweLCb0f/97zBkiEvbGJOmEr3eu6VoUlfqBvrcXOjWzS1Clp/vdW+MSRovbuBhM/fUlpApsIj0FJEvROQrERkdl53u3etOso4f756ffTa8/roFeZNUCRnbEURKuyQ6yFsNfPDEPdCLSAPgYeA8oANwlYh0qNdOP/4YTj4Zxo51ZZO2CJnxQDzGdqTg7UVAD8dm7sGUiBn9ycBXqrpaVcuAp4EL6rSn3btdLXzXrm5KMWeOu0GIBXjjjXqPbT9Vu9jMPX0kItAfBXxT6fm3obbYrV7t1oz/n/9xi5BdfHE8+mdMXcVvbHvMZu7pxbOTsSIyEBgI0KZNm/Bv6tABVq2yPLxJKVGN7STKzbWZerpLxIz+O6B1ped5obYqVHWKqhaqamGLFi0i782CvPGP+I7tOMvNtXSMCS8RgX4x0E5EjhaRLOBK4OUEHMeYZPPF2LaAbmIV99SNqu4TkaHAG0AD4DFVXRbv4xiTbPEY27m50Z+QtZSLiRdRH1zuJiIbgLURXm4ObExid7ySDt/Tq++Yr6rJy6FUYmM7Lb4j+Hxs+yLQ10ZEilW10Ot+JFo6fM90+I6xSId/j3T4juD/72mLwxhjTMBZoDfGmIBLhUA/xesOJEk6fM90+I6xSId/j3T4juDz7+n7HL0xxpj6SYUZvTHGmHqwQG+MMQHn20CfzHW/vSIirUXkXRFZLiLLRGSY131KJBFpICIfi8g8r/viJRvbwZIK49qXgT4ha9r70z5gpKp2AE4Bbgjo96wwDFjhdSe8ZGM7kHw/rn0Z6InnmvY+pqolqvpR6PF23GBJyWVvD0VE8oDewDSv++IxG9sBkirj2q+BPjDrfkdLRAqAzsAib3uSMEXAbUC51x3xmI3tYEmJce3XQJ9WRCQHmAMMV9VtXvcn3kSkD/CDqi7xui8muYI8tlNpXPs10Ee17ncQiEgm7hdhtqrO9bo/CdINOF9E1uBSFWeKyCxvu+QZG9vBkTLj2pcXTIlIQ2AVcBbul2AxcHXQljsWEQFmAptVdbjX/UkGETkduEVV+3jdFy/Y2A4mv49rX87oVXUfULHu9wrg2aD9IoR0A67BzQQ+CW29vO6USRwb28YLvpzRG2OMiR9fzuiNMcbEjwV6Y4wJOAv0xhgTcHG/OXhdNG/eXAsKCrzuhgmoJUuWbPTqnrE2tk0iRTu2fRHoCwoKKC4u9robJkWVbC/hyjlX8sylz9Ayp2WN10Uk0s25E87Gtqmz2bPh9tth3Tpo0wbuvhv69q3ylmjHtqVuTMqb+N5E3l/3PhMXTvS6K8bEx+zZMHAgrF0Lqu7nwIGuvQ4s0JuUVrK9hBmfzKBcy5nxyQxKfyr1ukvG1N/tt8POnVXbdu507XVggd6ktInvTaRc3XpS+3W/zepNMKxbF1v7IVigNymrYjZftr8MgLL9ZTarN8HQpk1s7Ydggd6krMqz+Qo2qzeBcPfd0KBB1bbsbNdeBxboTcr68NsPD8zmK5TtL+ODbz/wqEfGxEmPHrB/PzRpAiKQnw9TptSouomWL8orjamLjwd97HUXjEmMKVNcgP/0Uxfk6+mQM3oReUxEfhCRzyu1NRWR+SLyZejnEaF2EZHJoZsefyoiv653D40vjBo1irPOOovWrVvTuHFjmjZtSufOnZkwYQKbNm3yunvGxMWsWbMQEUSEadM8ujtgWRlMmwa9e8clyEN0qZvHgZ7V2kYDb6tqO+Dt0HNwNzxuF9oGAo/GpZfGcw8++CA7duzgnHPOYdiwYfTt25eGDRsyfvx4TjzxRL755ptD78QYH/vmm28YOnQoOTk53nbkpZdg/XoYPDhuuzxk6kZV3wvd87GyC4DTQ49nAguAUaH2J9Stffy/IvILEWmlqiXx6rDxxrZt2zjssMNqtN9+++3cc8893HvvvTzyyCMe9MyY+lNV/vjHP9KsWTMuvvhiHnjgAe868+ijbibfs/r8uu7qejI2t1LwLgVyQ4/T7sbHibJ3716Kioro1KkTjRs3Ji8vjxEjRlBWVsbOnTvJzc2lbx1PzNRFuCAPcPnllwPw5ZdfJqUfJdtLOO3x06yEMkX5bVxXmDx5Mu+88w4zZszgZz/7WdKPf8DKlfDuuzBoUM2qm3qo98lYVVURifnuJSIyEJfeoU0da0ODavPmzfTs2ZPFixfTp08fzj33XObNm0dRURFHHXUUGRkZbN68mQkTJnjdVV555RUATjzxxKQcr/JyBw/3fjgpxzTx4ddxvWLFCkaPHs2wYcP43e9+xzvvvJPU41fxj39AZiYMGBDX3dY10K+vSMmISCvgh1B71Dc+VtUpwBSAwsJCu81VJVdccQWLFy9m0qRJ3HTTTQDceuut5OXl8frrr7N8+XL69+9P27ZtI+6jqKiILVu2RH3MTp06ceGFFx7yfQ888AA//fQTW7dupbi4mPfff58TTzyR0aNHH/Kz9VV9uYM7Trsj7CJmxp/8OK737dvHNddcQ5s2bbjnnnui/zKJsHMnzJwJl1wCRx4Z332r6iE3oAD4vNLz/wuMDj0eDfwl9Lg38DogwCnAf6LZf5cuXdQ48+fPV0B79Oih5eXlVV5r3769AtqoUSNdt25drfvJz89XIOqtX79+UfUvNze3yud69uyppaWldf26Mbl+3vWaNTFLGY9mTczSIfOGRPU5oFijGIeJ2GxsO34d13fccYdmZGToBx98cKBt3LhxCujUqVPr/H3rZPp0VVBduDDqj0Q7tqMpr3wK+BBoLyLfisgA4D7gHBH5Ejg79BzgNWA18BUwFRgS1V8bc8CTTz4JwPDhwxGRKq9V5MkHDRpE69ata3y2sjVr1sQUkB5//PGo+ldaWoqqUlpayty5c1m9ejWdO3fmo48+iv3LxsCWO0htfhzXixYt4p577mHkyJH89re/rd8XrI/Zs6GgwKVrMjMhERVssfyjJWqzWc9B+fn5mpmZqTt27Kjx2kknnaTZ2dlJm0FHY82aNZqVlaUdO3ZM6HEqz+Yrtmhn9diM3nN+G9d79+7V4447To8//njdvXt3ldeSOqOfNUs1O9vN5Cu27GzXHoVox7ZdGesju3btYt26dRx77LFkZ2dXeW316tWsXLmSU089ldzc3Ah7OChROfrq8vPz6dChA5988gkbN26kefPmMe8jGrbcQery47j+6aefWLVqFRC5ouy6667juuuuY9iwYRQVFUV9zJjUthxxHKuPLND7yK5du1w+LaNmRm3EiBHs2bOHhg2j+09WVFTE2rXR31ipX79+dQr0AN9//z0ADeJYDladLXeQuvw4rhs1asSACJUtH330ER9//DHdu3enffv2iU3rxHk54oiimfYnerP/vXXKy8s1JydHMzIydOnSpQfaH3nkkQMnl7p27Zr0fn3xxRe6ZcuWGu379+/XsWPHKqCnnnpq0vsVLSx14ym/jutIkpq6yc+vmrap2PLzo/p4tGPbZvQ+IiL079+fhx56iLPPPpvLLruM0tJSXnjhBS644AK2bt3KggULGDx4MAMGDKBr165J6ddrr73GmDFj6N69O0cffTTNmjVj/fr1LFy4kNWrV9OyZUumTp2alL6Y1OPXce0LY8bUXOqgHssRRxTNX4NEbzbrOWjXrl06cuRIzcvL04YNG2qLFi305ptv1rKyMl20aNGBUrQ333wzaX367LPP9IYbbtCTTjpJmzVrpg0aNNAmTZpoYWGhjhs3Tjdt2hT3Y36/7Xv93Yzfacn2knrvC5vRe86P4zqSpM7ox493M/hWrVRF3Ew+yhOxqtGPbXHv9VZhYaEWFxd73Q3jI0NeHcI/l/yTwV0G1/sKWBFZoqqFEV5rABQD36lqHxE5GngaaAYsAa5R1TIRaQQ8AXQBNgFXqOqaQx3bxraJaMcOt6bNqafCyy/XaRe1je3K7MYjxneSfMPvYcCKSs/vBx5U1bbAj0DFGbsBwI+h9gdD7zOm7qZPh02bIAlXlVugN76TrBt+i0ge7mruaaHnApwJPB96y0ygomTjgtBzQq+fJdWv/DEmWnv3wl//Ct27uxl9glmgN76S5Ctgi4DbgIobzzYDtqjqvtDzyquvHliZNfT61tD7jYnd00+7EspRo5JyOAv0xleSdcNvEekD/KCqS+K6Y7fvgSJSLCLFGzZsiPfuTaorL4f774cTToBevZJySAv0xleSeAVsN+B8EVmDO/l6JjAJ+IWIVJQdV1599cDKrKHXD8edlK1BVaeoaqGqFrZo0SLe/TapqmJNm4YNYdkyl7YJcxFZIlgdvfGVZF0Bq6pjgDEAInI6cIuq9hWR54BLccG/H/BS6CMvh55/GHr9HfVDyZpJDbNnw8CBVZc7eOIJF+yTcKMVm9EbU9Uo4GYR+QqXg58eap8ONAu138zB+yQbc2i1rWmTBBbojaf8cGtAVV2gqn1Cj1er6smq2lZVL1PVPaH23aHnbUOvr/aswyb1JGtNmwgs0BtPVb41oDGBFel2qUm6jaoFeuOZJF8YZYx3Jk6E6pddJGJNmwgs0BvPJOvCKGM8V7EuZfPmLuDn58OUKUk5EQsW6I1H7NaAJm3s2QN//jN06QLr17s6+jVrkhbkwQK98UiyLowyxnOPPgpr18J99yWtbr46C/TGE3ZrQJMWtm1zefizz3abR+yCKeMJuzWgSQsPPAAbN7rZvIdsRm+Swg/18sYkzezZ0Lq1q7bJzoaVKz3tjgV6kxRWL2/SRsVyB99+657v3Omez57tWZcs0JuEs3p5k1Y8Xu4gHAv0JuGsXt6kFY+XOwjHAr1JKKuXN2mnadPw7Ula7iAcC/Qmoaxe3qSVzZvdBVLV6+WTuNxBOBboTUJZvbxJK6NHw65dcNddbpkDD5Y7CMfq6E1CWb28SRv//jdMnQq33AJjxrjNJ2xGb+LOauZN2tm7FwYPdrXz48Z53ZsaLNCbuLOaeZM2Ku4Dm5UFn38Ol14KOTle96oGC/Qmrqxm3qSNiguj1q492PbPf3p6YVQk9Qr0IrJGRD4TkU9EpDjU1lRE5ovIl6GfR8SnqyYVWM28SRs+vDAqknjM6M9Q1U6qWhh6Php4W1XbAW9jN1FOG1Yzb9KKDy+MiiQRqZsLgJmhxzOBCxNwDONDVjNv0krLluHbPbwwKpL6BnoF3hSRJSIyMNSWq6olocelQG49j2F8qnp1jdXMm7SxZw80DFOd7vGFUZHUt46+u6p+JyJHAvNFpMpanKqqIqLhPhj6wzAQoI0P/wKaQ6tcXfNw74etZt6kjzFj4JtvYORIeP55l65p08YFeQ8vjIqkXjN6Vf0u9PMH4AXgZGC9iLQCCP38IcJnp6hqoaoWtmjRoj7dMB6w6hqTtt54Ax58EIYOdTcWWbPGk/vAxqLOgV5EfiYiP694DPw38DnwMtAv9LZ+wEv17aTxH6uuMWmlol4+IwN69YK8PPjLX7zuVdSK+LPtAAAKDUlEQVTqM6PPBd4XkaXAf4BXVfX/AfcB54jIl8DZoecmQIJSXSMirUXkXRFZLiLLRGRYqD1sibA4k0XkKxH5VER+7e03MElRuV5e1c3eN26EuXO97lnU6hzoVXW1qp4U2jqq6t2h9k2qepaqtlPVs1V1c/y6a/wgQNU1+4CRqtoBOAW4QUQ6ELlE+DygXWgbCDya/C6bpAtXL797ty/r5SOxK2PNIQW1ukZVS1T1o9Dj7cAK4CgilwhfADyhzv8Cv6g4H2UCLIXq5SOx1SvNIaVDdY2IFACdgUVELhE+Cvim0se+DbWVVGqzirKgadUKvv++ZnsK/be1Gb2pVTpU14hIDjAHGK6q2yq/pqqKu14kalZRFiCbNsG+fTXbfVovH4kFelOroFfXiEgmLsjPVtWKs2uRSoS/A1pX+nheqM0EUVkZXHwxbN0K48f76kYisbJAbyIKSnVNJCIiwHRghar+rdJLkUqEXwb+J1R9cwqwtVKKxwRB5TLKpk3hvfdgxgy3xnwK1MtHYoHeRBSg6ppIugHXAGeGVmD9RER6EblE+DVgNfAVMBUY4kGfTaJUL6PcsQMyM11wT3F2MtYcULK9hCvnXMkzlz5Dy5yWgamuiURV3wckwstnhXm/AjcktFPGO+HKKPfude0pNoOvzgK9OSAdqmuMiSgAZZSRWOrGAOlRXWNMrZo1C9+eQmWUkVigN0Dwq2uMqdWLL7pSyoxqITHFyigjsUCfhqpf6Rr06hpjwqpcYXPRRXDMMe6erylcRhmJBfo0VDkXX/E84NU1xlRVvcIG3NWvjRundBllJBbo00y4XHzQq2uMqSFchc2uXSm1UFksrOomzYTLxVt1jUkr5eVuJh9OACpswrEZfcBVzsdbLt6kvZ074YorIr8egAqbcCzQB1zlfLzl4k1aqnzS9Ygj3D1er7rKVdRUFpAKm3As0AdY9Xz8e2vfs1y8SS/VT7qWlUGjRtC7t6uoCWCFTTiWow+w6vn40/JP4/Mhn3vcK2OSaOzYmidd9+xxJ10DVFVzKDajDwirjTemmpKSQC9rEAsL9AFhtfEm7VXOxbdoAe3aubRMOAE96RqJBfoAsNp4k/aq5+I3bnQpmyuvTKuTrpFYjj7FVF9KGKw23piwuXhV+OADd5L19ttduqZNGxfk0yQ3X8Fm9CmmeorGcvEm7VRO0RQUuNv81ZaL79s3kMsaxMICfQoJl6KxXLxJK9VTNGvXwoQJlos/BAv0PlW9igbCp2gsF2/SSrg1asBdCGW5+IgsR+9T1e/2FClFs3rY6gO5emMCZ/bsg/n1li1dyWQ4P/4ITz6Z9rn4SGxG7wORauAtRWPSWvU0TaQgDy6wWy4+Igv0PlBbDbylaExaqH6CdfJkGDo0fJqmej7eUjSHJFqx6L6HCgsLtbi42OtuJFy40siS7SUcM/kYdu/bTeOGjflwwIecMv0Udu/bfeBzjRs2thRNPYjIElUt9OLY6TK2o1Y5FVORXgE3cw8X1CPJz7cUDdGPbZvRJ0C4E6lQc+Ze0VZ59t53bl9L0ZhgClcxc+21kYN8gwbh95OfbymaGFmgr4dYAnq4vHu4E6zLNyy3FI1JfdVTMRUz+eoBfffuyDP5/futkiZOLNBXEyl4Ryp3jCagV7y3et493AnWzAaZDCkcgo7TKptd6Wo8Fy54R2qrPnPv1y/yXZ0iqVg6OE2WEk6khAR6EekpIl+IyFciMrqu+4kl6EZqj3Uf4YJ3uPZYAnqk0khbHz71xGVsRxswI7031vZ47aN68P7jH+FPf6ra1r+/a68+S9+/P/JFTc2aRZ65WyVNfKhqXDegAfA1cAyQBSwFOtT2mS5dumg418+7XjMmZOiQeUPq3B7Le7/f9r0edtdhyni08V2NtWR7ScT26+ddr1kTs5TxaNbELB0yb0iV91Vsje9qrP1e6HfgvRVbxWdM4gHF6pexPWuWana2qguNbsvMVM3KqtqWna16/fU13xtrezz23aiRak5O1ba6buGOOWuW2/LzVUXcz1mzEjkkAiPasR33qhsR+S0wXlXPDT0fE/qDcm+kz4SrTKhejVJRdRJLu6rGtI8hrw5h+sfTKdtfRlaDLK7tfC0P9364RvtVHa/imeXP1KiMubzj5Tz1+VNVZulZDbJoktWEjbs21vjenVp2spRMEsSr6iYuY7ugIPoURkaGm8nW7IgLk9G2+0V+vpul20VNceNl1c1RwDeVnn8baqtCRAaKSLGIFG/YsKHGTsKlQGJtj+W9kdIrS0uX1mif9dmssJUxr656NWwqJu/wvBo5d8u7p6T6j+1YbngRLshD5GDulyBvqRjf8WwJBFWdAkwBN+up/FqkoDuwy8Co2x/7+LEDj6PZx469O8IG70jljvv376/SVhHQN9xW84+WSS+1jW3atIl+Rt+ggctt17c9Hvtu1gx27aqae8/MdP8XUVZpcpOdDZMmucc2c/eNRMzovwNaV3qeF2qLWqTL/SMF3XDtZfvLKCsvi+q9tc3Gv/7x6xrt4NIuNkNPO/Ue29x9d83ZbmYmZGVVbcvOdic/w82MY2mP174nTapZATNjBjz2WPiqGJu5+0oiZvSLgXYicjTul+BK4OpYdhDpcv9wQTdSeznlUO3/ZGvbh83GTRTqPbYPBLxwV4eGmwF361b/9njtu3L/w30n41sJWQJBRHoBRbgqhcdUtdYrHOwycZNI8VwCwca28ZNox7Yv1roRkQ1ApMRlc6BmyUrwpMP39Oo75qtqCw+Oa2M7Pb4j+Hxs+yLQ10ZEiuM1G/OzdPie6fAdY5EO/x7p8B3B/9/TlkAwxpiAs0BvjDEBlwqBforXHUiSdPie6fAdY5EO/x7p8B3B59/T9zl6Y4wx9ZMKM3pjjDH14NtAH6+ljv1MRFqLyLsislxElonIMK/7lEgi0kBEPhaReV73xUs2toMlFca1LwO9iDQAHgbOAzoAV4lIB297lRD7gJGq2gE4BbghoN+zwjBghded8JKN7UDy/bj2ZaAHTga+UtXVqloGPA1c4HGf4k5VS1T1o9Dj7bjBUmM1xCAQkTygNzDN6754zMZ2gKTKuPZroI9qOdggEZECoDOwyNueJEwRcBsQYe3dtGFjO1hSYlz7NdCnFRHJAeYAw1V1m9f9iTcR6QP8oKpLvO6LSa4gj+1UGtd+DfT1Xw42RYhIJu4XYbaqzvW6PwnSDThfRNbgUhVnisgsb7vkGRvbwZEy49qXdfQi0hBYBZyF+yVYDFytqss87ViciYgAM4HNqjrc6/4kg4icDtyiqn287osXbGwHk9/HtS9n9Kq6DxgKvIE7ifNs0H4RQroB1+BmAp+Etl5ed8okjo1t4wVfzuiNMcbEjy9n9MYYY+LHAr0xxgScBXpjjAk4C/TGGBNwFuiNMSbgLNAbY0zAWaA3xpiAs0BvjDEB9/8BvNfkRoP/HUUAAAAASUVORK5CYII=\n", "text/plain": [ "
" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure(1)\n", "plt.subplot(221)\n", "plt.plot(t, t, 'r--')\n", "plt.text(2, 0.8*np.max(t), r'$\\alpha = 1$', fontsize = 20)\n", "plt.subplot(222)\n", "plt.plot(t, t**2, 'bs')\n", "plt.text(2, 0.8*np.max(t**2), r'$\\alpha = 2$', fontsize = 20)\n", "plt.subplot(223)\n", "plt.plot(t, t**3, 'g^')\n", "plt.text(2, 0.8*np.max(t**3), r'$\\alpha = 3$', fontsize = 20)\n", "plt.subplot(224)\n", "plt.plot(t, t**4, 'r-o')\n", "plt.text(2, 0.8*np.max(t**4), r'$\\alpha = 4$', fontsize = 20)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "def f(t):\n", " return np.exp(-t) * np.cos(2*np.pi*t)\n", "\n", "t1 = np.arange(0.0, 5.0, 0.1)\n", "t2 = np.arange(0.0, 5.0, 0.02)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXwAAAEACAYAAACwB81wAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJztnXd4lFX2+D8noQgICCK9BEJRURFERGmhCSor9kLUxVXQ\ntayLfd1lE8TvT1lxLWvFhh1XXBVUFBADgoAggiAt0glNekdIzu+POyEhTJKZzDvv+87M/TzPPJl5\n5773nrmZOe99zzn3HFFVLBaLxRL/JHktgMVisVjcwSp8i8ViSRCswrdYLJYEwSp8i8ViSRCswrdY\nLJYEwSp8i8ViSRAcUfgi8rqIbBaRn0to85yIZIvIfBE524lxLRaLxRI6Tq3w3wT6FPemiFwEpKpq\nC+A24GWHxrVYLBZLiDii8FV1OrCjhCb9gbcDbWcD1UWkjhNjWywWiyU03LLhNwDWFXqdEzhmsVgs\nFpewTluLxWJJEMq5NE4O0KjQ64aBY8chIja5j8VisYSJqkppbZxc4UvgEYxxwE0AItIR2Kmqm4vv\n6jJgL+npmahqwj4yMjI8l8EPDzsPdi7sXJT8CBVHVvgi8j6QBpwsImuBDKACoKo6SlW/FJGLReRX\nYB9wc8k9zqd+/ZsZPvxJJ8SzWCwWCw4pfFUdEEKbu0Ltr3Pn9uzevZyUlMaRCWaxWCyWo/jSaTt1\n6ofs27eXmTNnei2Kp6SlpXktgi+w81CAnYsC7FyEj4Rj/3EDEVFV5dlnn2XmzJmMGTPGa5EsFovF\n14gIGoLT1hGFLyJ9gWcwdwyvq+qIIu+fDLwL1AOSgadUdXQxfamqsnv3blJSUvjll1+oV69exDJa\nLBZLvBKqwo/YpCMiScDzmNQKrYHrReTUIs3uAuar6tlAd+ApESnRf1CtWjUuvfRSu8K3WCwWh3DC\nht8ByFbVNap6GBiDSaVQmE1A1cDzqsA2VT1SWsfp6em89957DohosVgsFicUftG0Ces5Pm3Cq0Br\nEdkALADuCaXjHj16kJOTw7JlyxwQ02KxWBIbt6J0/gYsUNX6QFvgBRE5sbSTkpOTufbaa61Zx2Kx\nWBzAiTj8HKBwwHywtAmdgP8DUNUVIrIKOBWYG6zDzMzMo89TUlJ4++23ycjIcEBUi8ViiX2ysrLI\nysoK+7yIo3REJBlYBvQENgI/ANer6pJCbZ4CdqvqsEBa5LlAG1XdHqQ/LSxTdvYKzjzzTNq2HUxq\nag2GDx9I06ZNIpLZYrFY4gkvwjKfpSAs8wkRuY1AagURqYUpktIYk2/ncVX9oJi+jir8VavW0Lv3\nf1ixYiNwPnAzqakZTJp0t1X6FovFEsBVhe8khRX+DTcM47337ge+xhTJmgjsIz19JO++a008FovF\nAi7G4UeTnJw8oArGWjQTOABUYcOGPE/lslgslljE1wq/QYMkTHLN6sBZwAxgH/Xr+1psi8Vi8SW+\nNukU2PCHAf8C9pKaKtaGb7FYLIXwVS6dQJs04GmgPPCbqnYvpq9jonRWrVrD0KGjWbx4NWvWfM3c\nuTOtsrdYLJZCuKbwA7l0lmMM7RuAOcB1qrq0UJvqwPfAhaqaIyK1VHVrMf1pMJkOHz5MrVq1WLFi\nBbVq1YpIZovFYokn3HTahpJLZwDwsarmABSn7EuifPnydOnShSlTpkQssMVisSQibuXSaQnUFJFv\nRWSOiNxYloF69+7N5MmTyyimxWKxJDaOlDgMcZx2QA9MnOVMEZmpqr8Ga1w4tUJaWtrRyja9evXi\nmWeeQVURKfXuxWKxWOISL1MrdAQyVbVv4PXDmB22Iwq1eQg4QVWHBV6/BkxQ1Y+D9BfUho/plAYN\nGvDdd9+RmpoakdwWi8USL7hpw58DNBeRJiJSAbgOGFekzWdAZxFJFpHKwHnAEsJEROjVqxeTJk2K\nWGiLxWJJNCJW+Kqai6loNRH4BRijqktE5DYRGRxosxSTH+FnYBYwSlUXl2W8tLQ0pk2bFqnYFovF\nknD4euNVMLKzs+nRowdr1661dnyLxWIhTnLpBKN58+YcPnyYNWvWeC2KxWKxxBQxp/BFhK5du/Ld\nd995LYrFYrHEFI4ofBHpKyJLRWR5ICKnuHbnishhEbkikvG6dOli7fgWi8USJhEr/EBqheeBPkBr\n4HoRObWYdk9gnLcR0aVLF7vCt1gsljBxK7UCwN3AWGBLpAOeeeaZbNq0iS1bIu7KYrFYEgZXUiuI\nSH3gMlV9CVPiMCKSk5Pp1KkT06dPj7QrX7Jq1RpuuGEY3btncMMNw1i1yjqoLRZL5LiVWuEZoLBt\nv0SlX1xqhcLk2/GvuCIid4DvOLYGQBVgH7Nm2Tq+FoulAL+nVliZ/xSohSljNVhVi+7ILTUOP58Z\nM2bwl7/8hR9//DEi+b0iP89/Tk4eDRok8cADV7BkyS88/vgofv65G9ARk3qoPLaOr8ViKYlQ4/Cd\nWOEfTa0AbMSkVri+cANVbVZIsDeB8cGUfTi0b9+eZcuWsXv3bqpVqxZJV65z7Co+GXiMDz44l7S0\nzuzceRjYA2QCtwIZwC22jq/FYokYV1IrFD0l0jEBKlasyDnnnMOsWbOc6M5Vhg4dHVD2+zGr+J/J\ny/uJevW60KVLL2AYpmj7J8ArwIXUqnXIO4EtFktc4Egcvqp+paqtVLWFqj4ROPaKqo4K0vZPqvo/\nJ8aN1fDMnJw84BDQDegOfAqcxoYNeQwfPpDU1AyM1as9MJnq1bfx008fs3HjRs9ktlgssY9bTtuo\n0LlzZ0aMOK58ru+pWzcP6AdcDPxf4Og+6tdPomnTJkyadDdDh45kw4Y86tdPYvjwT/jgg/fo2rUr\nbdpczrZtlWjQIInhwwdaR67FYgmZmEueVpgFCxbSvv05XHDBgzRqVD5mFODgwbfx3nuT2b9/PlAV\n2EdqasmROKtWraFdu2vYuVOALCC31HMsFkti4GrytNJSK4jIABFZEHhMF5EzIx1z1ao1XHnlWxw5\ncirTpv2B9967n969/+P7mPVvvvmGCRO+ZNq0saSn/5vu3TNITx9ZquIeOnQ0O3dOBhoDtwGVWbFi\nGEOHjnZJcovFEvOoakQPzEXjV6AJJoZwPnBqkTYdgeqB532BWSX0p6GQnp6psFfhLoUnFVRhr6an\nZ4Z0vhfs379fU1NT9Ysvvgj73LS0fwY+4z6F0xTeUlDt3v2fUZDUYrHEEgG9Waq+diW1gqrOUtVd\ngZezOL7IedgYx2cVoDOQv+O2iq/DF0eMGEHbtm25+OKLwz63QYMkjCO3MmaK7wMWUL9+zCU8tVgs\nHuFKaoUi3ApMiHjQowqwE0bhK/mOTz+Sk5PDf/7zH/7973+X6fxjo3fOAv5GxYp9GDp0gINSWiyW\neMZV7Sgi3YGbOTbNQpkoUIA1MI7Pn0hNzWD48IGRdh0VMjMzufXWW2nUqFGZzs+P3klPH0n37hkM\nGLCbLl3O5O23RzsrqMViiVucCMvMwXgS82kYOHYMInIWMAroq6o7SuowlFw6hcMXJ0+uRkpKJh98\n8B9fRazkp0/Izt7KggXvM2vWzIj6a9q0yTHpFTZt2sRZZ53FNddcQ5s2bSIV13cUTT8RK1FYFku0\n8TKXTjKwDOiJSa3wA3C9qi4p1KYx8A1wo6qWuDU2nLDMfEaNGsWMGTN46623whU/ahybPuFuoB6p\nqYccD6N84403ePHFF5k1axblysXmtopgih04LomcDUO1WIITalhmxFE6WhB5swzIBh4OHLsNkyAN\n4FVgGzAP+An4oYS+wvZQ//LLL9qsWbOwz4smBVFEqxRqKmyPShRRXl6e9ujRQ0eOHOlov26xcuVq\nTU29LzBXJtIqJeUO7dbtRoUJCtMUligcPmb+Vq5crenpmZqW9k9NT8/UlStXe/xJLBbvIMQoHUeW\nhKr6FdCqyLFXCj0fBAxyYqxgnHrqqezcuZMNGzZQv379aA0TFgVRRH/H+KlrADgeRSQijBo1inPP\nPZdp09aye/dJMWX+MHmFMoAZmPo4k1i9ejvr1ycDq4FcYDPm5vFMpk0TPv20LffdN5WVKx/FppC2\nWELHnyEtYZKUlESnTp2YMWOG16IcxUQRbQTexuSWg2hFESUllSMp6UzGjVtAVlZmzGxCy8vLY968\nBUA7jB//VOBLYAcnn5yGCeaagdnmsRF4lOrVK3DTTTezcuWnwAvALqCK3YRmsYRAXCh88F8iteHD\nB3LyyelAGtCIfBt0NKKIhg4dzbZt44C9wJv4UQEWreL14Ycf0aFDB7Zs+QF4DmPtuxc4DThAx45N\nCoWhAiSTmjqRcePepl27OzF7ERYAzYCHgYO+3oMRKsVVO7NV0CyOEIrdx80HZbDhq6p+//332rZt\n2zKdGw1yc3O1SZMUvfDCP2n37tG1Mxfswv1J4RSFjb7ahXusnf6Qwr2anHyiPvfcf3TFilXH2fBT\nU+/TlStXH7XTF52/Av+IBnwktyucrG3b9tYDBw7ErH0/mD8jNfU+nTp1erFzlH9eLH5ei3MQog3f\nSaftUmA58FAxbZ7DOHXnA2eX0FeZPvDBgwe1SpUqumvXrjKd7zTjx4/X9u3ba15eXtTHOlYB/k3h\nKl+lmSiQ71eFcxT+oLDqOAdsqBfGYIqxUaOB2qtXL23QoIHWrn2xwu6gytEPFKegC+bpsMJKhekK\nY7VWrXMVXlV4V2GMwtcK3+nll9+rv/66Mm4vBsXJXtJniuXPGwmuKXxCy6VzEfBF4Pl5OJBLJxhd\nu3bVr7/+usznO0mvXr30nXfecWWsYxXgfoXmWrfupb75sps7kKkKdRSeU8iL+A6kuItEr14DFdop\ndFCY4bscS8dfrLZq/fpX6wMPPKinnHKaQnOFCgqNFDoq9NXy5ZsopCtcH7iY91Q4W8uXr6LJyeUU\nTlfor/CQwocKP+uAARnF3jG4/b0oi4Iuy91OaZ/XzQuF0xer0uRzU+F3BCYUev1w0VU+8DJwbaHX\nS4A6xfRX5kn++9//rkOHDi3z+U6xdOlSrVOnjh46dMi1MQsrwF69BmrdunV1586dro1fEueff5lC\nLYWJgR9i9JSwubjkqkku10DhWoXVrpu3Sl7FL1d4RqGXwokK5+qpp3bUCy64QmGOwsFj5ikl5YpC\nSuzY+evc+SGF+QpjFR5VuEyhkZYrV1Hr1ElR+IvCBwrZgQtt6aGtZVV+wc4rSQkf/94eTUm5U7/4\nYoKmpQ1QeEHhWYUnFDIUhmjVqqlqTHhDFB5Qc0f7kLZp00Pbt79Y4TWFcQpZakyci/Tqqx8u1XRY\nmlnRy4tVKBduNxX+lcCoQq9vAJ4r0mY8cEGh15OBdsX0F9KXKxgTJkzQtLS0Mp/vFA888IA++OCD\nnsowePBgve222zyVQVX18ccf10aNGmmjRgNdWWkea97aG1AUNbV16866e/duV275g/1AmzS5XTMz\nh2n16o0UaigMVPhUYafm3+2URVEc+3kLLgZXXvmAnnXWAIX/U7hczR1DDYVe2rhxJ33hhZe0ceNB\nCntCHiv/s4Wj5C699P7AsTyFHQoLFf6nHTr00zPO6Kpwg5o7llYKlRWqa/Xqp2jNmqkKN6vJhvuA\nwj8VntBKldoHLpZPKYwIfL5h2rhxJ61fv73CAIVLFLoonKXQRJOTK6qIqNkP00LhPIWLFK7VVq06\n6Jlndgv09bbC5wrfK8zTPn0GadOmdylsVbOICE0JX3/9UIWcwCNbzQV5stap01mNSe49NSa6ZxWG\n6RlndNXTTjtf4VaFPypco9BPoZvWqtVQTzqpjpo7v2YKTRQaKtTTE06oorVq1dLMzMyQFb4TO22v\nBPqo6uDA6xuADqr6l0JtxgOPq+r3gdeTgQdVdV6Q/jQjI+Po6+JSKwRj165dNGjQgO3bt1OhQoUI\nPlXZOXz4MI0aNWLatGm0bNnSExnAzEXr1q159913Q56/SCm8Y7Z+faF69d/IyvqWSZMm8fvvRxg6\ndHShKl7R2Sdw7A5nE6PfpMm9tGu3lRkzpqPaht9++xCzLyLy3bvBdgkPHTqa9967H1Oz+GPgQ2A+\nKSmNadKkNVOnPg/ULNTLPtLTR/LuuxlH+ys6TyUdL25HcoEcVQLjbAZmcNZZ/2Hbtg3k5OwE8jCR\nUS2BptSu/TlbtowAUoA6QMWj8g0fPjDoWOPG3cojj7zMZ5/1B3YHxtkArKJ8+UkcPnwSBfkVGwGN\nqFdvCxUqVGPNmpsw2VgaBf5Wo3v3DOrXTyoiu5mnlJSbWL367eOOp6ePBAh6Tnr6SNatO8y0afcA\n24Edgb/badHiXX7//QBr1rQ5eiz/IbIG1YqYcqS/YzLRVKRChVxEkjh06ATMPpH8xxFEjmBU6okB\nOSoH/lahXLnVHDlybuB1paPvp6TMJimpPCtXXhZoX/Bo1+49kpLKM3fuvUByocdsGjb8N9dd15ny\n5cvz+OOPo27stMWYdL4q9DoUk85SomDSUVVt06aNzpo1K6I+IuF///ufdu3a1bPxC/P5559r48aN\ndceOHVEf69gVT67CYK1QobbOnTsv6mMHkyWYfb9v30GB1WTDwCpxj+abOMqy8g++kv+zNm3aR425\nprrCdQqfKBwocRUfyZ1GcZ+3pLGM6StPYYPCFIWXFIZouXIN1DjWGyiUV6imUFdPOKG6Vq16shp/\nQcvAHUMthcqalJSsFStWVzg3sDK9RWGowig96aTzFWaruZPJ08LmqOLuTvI/g5NmkZLGKu692rVv\nLPQ6T02E2W7t3Pl+veCCexV+U7ODflfg/IPards/dMCAjKD9lWSaK4t8hU2iuGjSSabAaVsB47Q9\nrUibiylw2nYkSk5bVdW77rpL//Wvf0XURyRcfPHF+tZbb3k2flHuvPNO7devnw4YkBFVM8axESY3\nKXRWyPGNs1S1cPjqXIUrFU5SuEVbt75amzW7t1glXLI9fo+a6KN/qzEjVNfKlRsovKOmWM3xP9Bw\no5IiIbTQ1uKUUq7CNoXl2r//PXruuXcoLFCT6mK1wmaF3ZqWNrTY/vr3/2vETtZgF7Li5q8sF7/S\nzVHhKeG4tuGbsUrOpRN4/XzgwrCAYuz36oDCHzt2rF500UUR9VFW1q5dqzVq1NB9+/Z5Mn4wFi9e\nquXLn6zwSrFfFicwyvSgGntxn6PKzi97AVSDKbkchWFavnxVNfsX0hVeV5ilsCHIjzdPYZXWr3+N\nDhs2XOvUOUuhsZrooz+psf8e0I4d7/FFdExJOOkvKEnJFXZ8hqOgo/WZw5EjEiXs5MUqlHlyVeE7\n+YhU4W/btk2rVq3qaoRMPo8++qj++c9/dn3ckjA/0lkKJyv8ctxq0ymuvfYRNeaSK7UgysQ/4ZCq\nxSu5jh0fVLOB6+WA0m+nUFmTk8vrCSdUUeMoq6WQrOau4DxNTW2nHTr0U/hRC0wVxypAtxRZWQlX\nKZVVycUyfrlYlUbCKnxV1XPOOUenTZsWcT/hYHbWNtEff/zR1XFLo8CMMVqhqcIWx1feO3bs0HPO\naa9Vq7bW/KgTP65qVYP/SIOvXHfrNdc8rOefP0RhhRrzxaGj70fLHu93/KTkLAWEqvBjM4F6KfTs\n2ZPJkyfTpUuXqI+VHz2xcGE2u3cfokaNk6M+ZjgUlIL8I8bqdhkwLqIkboUjU2rW3MeyZV/Ro0cP\nPvxwCBkZzxSKJPFf9sqiRWTA5D2aNSujSPTJMJ54wkS6zJxZh6KRH/XrJx1ThMfPn9lJgs2fJYYI\n5apQ3AMT2zYRo0m+BqoHadMQmAL8AiwE/lJKnxFf7SZOnKidOnWKuJ/SOHaFd43CU75b4R0fPXOZ\nnnhiK83OXuFAf/MUGmqNGhfoihWrnBXcZcpqxrBY/ABuxOGLyAhgm6r+S0QeAmqo6sNF2tQF6qrq\nfBE5EfgR6K+qS4vpUyORCWD//v3Url2bjRs3UrVq1Yj6KokbbhgWiPs9ADQHVgEVjsZU+4XCMdy1\nax9h3bqppKQ0ISNjGI8++l5YJQQLPvNXwO3Ai8DFvvvMTlJcDLzF4hdCrXgVqUmnP9At8PwtIAsT\nh38UVd0EbAo83ysiS4AGmFj8qFC5cuVAQZBpXHLJJdEaplCRk1eBPxCtIieRUvQ2/MCBA1xyST/O\nPrsnBw5MJz99cyhFRNas2Y/J75+FyVffHvDfZ3YSa8awxAuR5sOvraqb4ahir11SYxFJAc4GZkc4\nbqmcc0577r//sajmDzf28b3Aa5iqVhCtIidOUqlSJerW7cyBA5cDF2CKjlQ+Jod+0fzrK1eu5pNP\nPmHevJcxWy8Wkq/sY+EzWyyWEFb4IjIJs8f66CFAgX8EaV6sLSZgzhkL3KOqe0saMzMz8+jzcFIr\n5LNq1RrGjMkhJ2cPS5cOI1ol8IYPH0hW1mBycg4CXSkocnK3Y2NEi40bAZ4B+gF3AE8Cd7B27cEi\n2/V3AmP56KMOpKbW4pVXXiIzcx4rVuTfPcbOZ7ZY4oWsrCyysrLCPi9SG/4SIE1VNwds9d+q6mlB\n2pUDPsdk1Xy2lD4jtuEbO/NfMZt/l2GuV/uiYme+9trrWL58GzVqXBBT9t0CW3wV4AjwAfAGycnT\nqVTpRPburYepO38E6AVcz4ABP/Hee8OsTdti8Rlu2fDHAQOBEZi4v8+KafcGsLg0Ze8UxrZeHeiJ\ncS7+EajiuJ15z549TJz4NUuWLKFu3bqO9h1tjg9FvILU1AV8+eWr3Hjjs/zwwyCgFlCXfMvfxo0/\nAdambbHEKpEaXkcAvUVkGUa7PgEgIvVE5PPA805AOtBDRH4SkXki0jfCcUukIPa8H+bGAqJhZ/7w\nww9JS0uLOWUPHI0hT08fSffuGaSnj2TSpLtp2bI5LVrUAlKB+hR8Rayd3mKJdSJOj+w0Tph0CmzQ\ndwDtgFWkpv6f4zb8jh07MnTo0KhGAnlBSSl3renGYvEfoZp04lLhQ0Hs9Lhxo+jQoRevvvqoo8pq\n0aJF9O3bl9WrV1OuXPxtWLZ2eosldkh4hZ/P8OHD2bp1K88+66z7YMiQIVSpUoXHHnvM0X4tFosl\nXFxR+CJSA1POpwmwGrhGVXcV0zYJmAusV9VLS+jTUYW/ePFi+vTpw5o1a0hKcsYGffDgQRo1asTs\n2bNp1qyZI31aLBZLWQlV4UeqAR8GJqtqK0y+nL+V0PYeYHGE44XN6aefTrVq1Zg927m9Xh988AHt\n27e3yt5iscQUkSr8/piUCgT+XhaskYg0xFS9ei3C8crEVVddxdixYx3pS1V5+umnGTJkiCP9WSwW\ni1u4lVrhaeABStiJG02uueYa/vvf/5KXF3kc/pQpU8jNzaV3794OSGaxWCzuUarCF5FJIvJzocfC\nwN9gdvjjFLqIXAJsVtX5mLQMpVdWd5jWrVtTu3ZtpkyZUuY+8nPLXHPNn6laNZXVq9c6KKHFYrFE\nn1LjCVW12KWsiGwWkTqFUitsCdKsE3CpiFwMVAKqisjbqnpTcf1GmksnGAMHDmT06NH06tUr7HML\n4tJvAF5g9uw36N37CRuXbrFYPMGrXDojgO2qOqK4fPhF2ncD7nMzSiefrVu30rx5c9asWUP16tXD\nOrcg78yDQE1gONHKzWOxWCzh4laUTqmpFfxCrVq16Nu3L2+++WbY55rcPDswCcbuDBx1PjePxWKx\nRJOIFL6qblfVXqraSlUvVNWdgeMbVbVfkPZTS1rdR5u//vWvPPfcc+Tm5oZ1nsnN8yhwCyaZGNjc\nMhaLJdZIKI3VsWNH6tSpw2efFZfUMziDBvUgKekdClb3+TngBzosocVisUSPuE+tUJRRo17loYf+\nQZs2g2nYsFxIOWIuu+wyWrRoycaNVWxuGYvF4jtcyYcfamoFEamO2XR1BpAH/ElVo17msCirVq1h\nxIil7NzZjKlTmwNXlVoJa8KECSxatIgPP/yQihUruiuwxWKxOIhbqRWeBb4MVMNqAyyJcNwyMXTo\naFaufBQYCfwd+P2YOq5F2bp1K4MGDeKVV16xyt5iscQ8keb17Q90Czx/C8jCXASOIiLVgC6qOhBA\nVY8AuyMct0yYaJsqmK0BVwC3A2OORtvkpwTOycmjXj1l48bpXH/99fTs2dMLcS0Wi8VRIlX4x6RW\nEJFgqRWaAltF5E3M6n4uppD5gQjHDpuCSlhVMBGlHYFHqFevYpGiHxWBP1Kp0ipefvkVt8W0WCyW\nqBD11AqYi0o74AVVbQfsp8hdgFsMHz6Q1NQMjNKvBHxKhQqvceDAQoYMeYYVKzKAX4A04DcOHJjN\n8OHveyGqxWKxOI4bqRXWA+tUdW7g9VjgoZLGjEZqBSio4zp06Mij0TYPPDCFMWPeZ+TIZ4DngWbA\nHcDdQJLdXGWxWHyHr1MriMhUYJCqLheRDKCyqgZV+tEOyywOkz7hTqBWoaM2fYLFYvE/blW8qgn8\nF2gErMGEZe4UkXrAq/m7bUWkDSYsszywEri5hMpYnih8W7jbYrHEKrambRmwhbstFkssYhW+xWKx\nJAhuZcu0WCwWS4xgFb7FYrEkCBEpfBGpISITRWSZiHwdyJkTrN3fROSXQPz+eyJSIZJxE4WyhF3F\nI3YeCrBzUYCdi/CJei4dEWkCDALaqupZmNj/6yIcNyGwX2iDnYcC7FwUYOcifCJV+P0xOXQI/L0s\nSJvdwO9AFREpB1QGNkQ4rsVisVjCJFKFf0wuHeC4XDqqugN4ClgL5AA7VXVyhONaLBaLJUxKDcsU\nkUlAncKHMDlz/gGMVtWahdpuU9WTi5zfDPgc6AzswqRW+EhVgyapEREbk2mxWCxh4kgBFAdy6bQH\nZqjq9sA5/wMuAIIq/FCEtlgsFkv4RGrSGQcMDDz/IxCsWOwyoKOInCAiAvTEowIoFovFksi4lUvn\nAcyFIRf4CbhVVQ9HKLvFYrFYwsB3qRUsFovFEh18s9NWRPqKyFIRWR5ItZyQiMjrAd/Iz17L4jUi\n0lBEpgQ27S0Ukb94LZNXiEhFEZktIj8F5uP/eS2T14hIkojME5FxXsviJSKyWkQWBL4bP5TY1g8r\nfBFJApZj7PsbgDnAdaq61FPBPEBEOgN7gbcDG9USlkAgQF1VnS8iJwI/Av0T8XsBICKVVXW/iCQD\nM4D7VHWk3nDoAAAgAElEQVSG13J5hYgMAc4BqqlqsAp8CYGIrATOCYTAl4hfVvgdgGxVXROw7Y/B\nbOpKOFR1OlDqPy4RUNVNqjo/8HwvxtnfwFupvENV9weeVsT8dhP2eyIiDYGLMXU2Eh0hRF3uF4Xf\nAFhX6PV6EviHbTkeEUkBzgZmeyuJdwRMGD8Bm4AsVV3stUwe8jTwAMHraCcaCkwSkTkiMqikhn5R\n+BZLsQTMOWOBewIr/YREVfNUtS3QEOgqIt28lskLROQSYHPg7k8Cj0Smk6q2w9zx3BkwCwfFLwo/\nB2hc6HXDwDFLghPIvzQWeEdVg+3zSDhUdTfwBWZTYyLSCbg0YLv+AOguIm97LJNnqOrGwN/fgE8w\nJvKg+EXhzwGai0iTQOrk6zCbuhIVu2op4A1gsao+67UgXiIitfLTj4tIJaA3MN9bqbxBVR9R1caq\n2gyjK6ao6k1ey+UFIlI5cAeMiFQBLgQWFdfeFwpfVXOBu4CJwC/AGFVNyN24IvI+8D3QUkTWisjN\nXsvkFSLSCUgHegRCzuaJSF+v5fKIesC3ARv+LGCcqn7jsUwW76kDTC/0vRivqhOLa+yLsEyLxWKx\nRB9frPAtFovFEn2swrdYLJYEwRGFH0o6ABF5TkSyRWS+iJztxLgWi8ViCR2nVvhvAn2Ke1NELgJS\nVbUFcBvwskPjWiwWiyVEHFH4IaQD6A+8HWg7G6guInVKaG+xWCwWh3HLhl80dUIONnWCxWKxuEqp\nJQ7dxta0tVgslvAJpTysWyv8HExVrHxKTJ2gzZujjz2GqibeY84c9Lvv0Lw8MgYPRlNS0H//23u5\n3H48/zzaqBE6axYZ//wnmp3tvUxePZ58Em3aFJ03j4yMDO/l8fLxr3+hixejqsfOxd693svm4SNU\nnFT4JaUDGAfcBCAiHYGdqrq52J6mT4cXX4SsLAfFixHat4fOnUEE6tUzc7E3wfKF7doFTz0F330H\n551n5qJ5c6+l8obvvzdzMX06tG3rtTTe8s038NxzUKvWscd374ZTT4WVK72RywvCUPKFcSos87h0\nACJym4gMNrLpl8AqEfkVeAW4o8QO69SBUaPg5pth//4Sm8Y9DRrA0KFeS+Eu1avDkiXQpInXknjL\nwYPwxz/CCy9A/frB2yxaBHl57srlBfv2wS23wKuvwimnHPtetWpwzz3wpz+VWRHGFDt3QqdOZVoI\nOmLDV9UBIbS5K6xOL7nEfJGTEndvWFpamtcieEfFikefJuw8LF1q7vauuOLooePmYvBguP/+Y9rE\nJS+9BOeeC30LUikdMxdDhsDo0fD118e0iUuefRZatoQTTwz7VN/l0hER9ZtMFh8ye7YxfyUney2J\nt4wfb+4A582L38XR/v3QrBlMmgRnnll8uzFjjDL8/ntjBoxHdu2C1FSYORNatDh6WERQHzltLcUx\nbhzcd5/XUsQe994L//uf11J4T79+RtFPmOC1JNEjOxvS00tW9gBXX23MHfHs+3vpJbjoomOUfThY\nhe81r7wCZ4VYqzwvzzjvLHD33cbPk+iIwF13me9RvNKmjXFcl0ZysrHxp6REXSRPyMszn+/uu8vc\nhTXpeMm6debLvH49VK5cevsjR6BxY3Nr27p19OVzk+xss2ofPz609gcPQqNGxrTTrFl0ZfM7e/ea\nuVi0yDj5LfHJ5s3w4IPGV1HEZBVfJp1Dh2DuXK+lcJ4334TrrgtN2QOUK2cil159NbpyecHrr5vQ\nulA54QS44QZzXqJz4onwzjtmTizxS5068NZbEfknYmOFv369WQlv3AgVKngjmNOoGgX3zjvQodgS\nlMeTnQ1du5o5iReHpaoJwfzySzjjjNDPmz8fLr/cxF/Hi5MuNxd69jR3OlWrei2NJUaIrxV+w4bQ\nqhVMmeK1JM6xZQucdJIJNQuHFi3Mlf7776Mjlxf88ANUqRK+mapNGxOhcuRIdOTygmnTYM8eq+wt\nUSE2FD7AVVfBRx95LYVz1Klj7M9lWZledRWMHeu8TF4xdqz5TOHOhYjZbFO+fHTk8oKPPjJzYYFH\nHoksSGHXLudkiRNiS+F/9hkcPuy1JN6Tnm7MOvHC3LkmpC7Ryc01oaZW4cPvv8PLL5c94mbqVLjw\nQkdFigdiR+E3bmz++TNmeC2J9zRtClde6bUUzjFlSukx1onADz+YtAFljLEGjD8kHhZF331n8ic1\nbFi28y+4AJYvh02bnJXLC6ZMgWeecaSr2FH4AH/7m8mbYYkvROLH6RoJM2ealCKRcM898RHFNWFC\nZHNRvjz06gVffeWcTF7x0UeO+aliI0rHYikN1fi4aBw+HJlP4sMPTeTX5587J5MXnH66CUEMN6ih\nMG++aS4c//2vc3K5jaq5o//ySzMnxRBfUTrxxP79Znu0xTlyc00yqd27vZYkciJ1QF94oYn0OXjQ\nGXm8ICcHtm2Dc86JrJ++fWHy5NiO4lqyxPw97TRHurMK322+/daswizOkZxsdttOnuy1JN5To4YJ\nV5061WtJyk6DBma/SaTJ4OrVM3lnNmxwRi4vmDDBfAaH7l6twnebb781tkUn+PLL2E689s03ZjOd\nE/TqZebWYjZuxfqeFad8de+9ZwI+YpUpU5zTF1iF7z5ZWeBUfvcmTeDTT53pywvuvts5hd+tW3xn\nSQyHHj1g61avpbA4wZtvmhW+QzhV8aqviCwVkeUi8lCQ97uJyE4RmRd4/COiAW+/3YRcxRo7d5qi\nFpE4ogpz+ulmV+batc705yabNxtl36aNM/21a2fm4bffnOnPTbZtM2kinKJrV5tjKF6oXTv0XFsh\nELHCF5Ek4HmgD9AauF5EgmXBmqaq7QKPxyIa9MCB2Lx9nz7d1GgtVM0pIkTMyjYW7bVTp5pqTk7l\nAypXztw5LVzoTH9uMn48PPGE11JYEgAnVvgdgGxVXaOqh4ExQP8g7ZyLmUtLi83b95Ytna9PG6sK\n30nTVj6ffmrMGbFGNOYiVsnOjo+NYz7FCYXfAFhX6PX6wLGinC8i80XkCxEpPqA0FPLttbEWr9+y\npfM/7LS02Nx9HA0lF6tx+FlZ5jud6Kia4txO+XXy2boVXnvN2T5jFLectj8CjVX1bIz5JzJPY9Om\nJl45Fu34TtO6talnGkvk5Zlc9mef7bUk3rN6tTFRhlMLIF5ZssTk9nc6qqZ8efjrX2Nrb8LevaYO\niMOUc6CPHKDwf6hh4NhRVHVvoecTRORFEampqtuDdZiZmXn0eVpa2rHV6cGs5PLNOq1aRSZ9rCMC\nlSp5LUV4JCWZTIiWgjudaNydzJ4N1avHzsUkWqat6tXNxqUffoidpIMvv2yCEJ57LujbWVlZZJXB\nrB1xagURSQaWAT2BjcAPwPWquqRQmzqqujnwvAPwX1VNKaa/0FIrbNliYnVtlR9LLJOfAqFfP+f7\nzsgwq8RYcQhfc42Zh5tucr7vBx4w+sJpH1q06NcPBg4MOXOqa6kVVDUXuAuYCPwCjFHVJSJym4gM\nDjS7SkQWichPwDPAtZGOS+3aVtlbjufw4diK4OrXLzrKHmIruEE1ur6MWJqLI0dMRF8U7kZs8jQ3\n2LPH5PWYPj12HYuxwpEjULOmsY3XrOm1NN5y4ADUqmXuhqtU8Vqaktm509jZR4+OTv+7dpmUDdu3\n+79M6rx5xse1eHHIp9jkaX5i7tzoZ3Pcvj0+cn9HSrlyZmPb7NleS+I9lSqZOgNz53otSemcdFL0\nlD0YO/6oUbGRSG3WLDj//Kh0bRW+G8yeDR07RneMl16CkSOjO4YTjBplKpdFk44dTW55i1Ecdi4M\nAwY4ums1ahw8aPIhRYHYV/ixULdy9myzwzaaxMoP++OPI8+CWBqxMhducN11zqWvsLjDvfeai1MU\niG0b/uHDJh3spk0mftePqBrb4fffl70+Zyjs2QN168KOHf61Ueblwcknw7JlxukeLbZuhdRUY+Zy\nKnWD0xw+bH7Yzz4b/QugJe5JDBt++fJw1lkwZ47XkhRPTo4p0NGkSXTHqVrV1AB1MgmX02RnG1tt\nNJU9GEfloEFm84pfWbjQpL61yt7iIrH/bTv/fOPk8CsNGpgdhG5E5/jdlOGGaSufkSONo86vuDkX\nfmfyZH//huOI2Ff4fnfQibgXHnjRRZGXyIsms2ZZJZePVfgFjBoFv/7qzlhjx8KTT7ozlg+JbRs+\nwPr10LatiTW2Me7+ZsMG41+oVctrSbzntNPggw9sPiEw5s7Jk6FFi+iPNWkSPPaYPzPMqpoKXQMG\nhG3qSwwbPkDDhqYQyLZtXktiKY369a2yB7PJaP16OOMMd8ZThRtvhP373RkvHDZuNL6W5s3dGa9D\nB/jxR3+mYF65Eh5+OKp+ndhX+GCu1laRWGKFChXMXoRyTuQuDAERk1nWjxuwZs82Stitu/Pq1U20\n3M8/uzNeOLhg5osPhe9Xdu40EToWb5g+HT76yGspjqdyZfcLtXTs6E/HqBe+DL8GeriwQdMq/Ggy\nZIgtvOAl27fb+c/HrxFcffqYLJlu4tdADxcufrHvtPUzp58O77/vrmNO1eTQvuMOf0Xs5Oa6vwlq\n82aTC37bNhvvvmqVqSaVk2ODG/buNZsAq1XzWpICDh0y0XxlTHSXOE5bv7JrF6xb555jLh8RE+a2\naJG745bEpk2mSpnbF/I6dYzNNjvb3XH9SEqKSRy2fr3XknjPiSf6S9mDyWyamRn1rKbxo/B//dV4\n3/3CnDkmXNQtx1xhzjvPXzbK2bPN3Y4XK0u/zYVXiJiQxFNO8VoSSzBOOskUaYky8aPwZ86EESO8\nlqIALzfWnHeev9ID27ko4B//MLHWXtCmjS0alODEj8L32w/7wAHv6mf6bS68VPhXXWVKxfmFb74x\n6TYsFg9wxGkrIn0xpQuTgNdV9biltog8B1wE7AMGqmrQLF9ldtqqmlj8RYugXr3wz48njhwxt4jr\n15u/XpKba5xRK1eaTJmJTL5jbvNm/2Z3dYu9e010zhdfeOdE3rPH/B/iwIntmtNWRJKA54E+QGvg\nehE5tUibi4BUVW0B3Aa8HOm4QQQxGzj8tLL1inLl4MUXTSSC16xbZ1IVJ7qyB1iwwOwoTXRlD2YT\n2I4d3irbs89OOIe+EyadDkC2qq5R1cPAGKB/kTb9gbcBVHU2UF1E6jgw9rH4zZThJTfd5I+arikp\n/nKme4lfEqb5IezZD3PRvr0/HPpvvw1ff+3KUE4o/AbAukKv1weOldQmJ0ibyPnDH0wNT4u/iINb\nZkeYN897JTdmDAwe7K0M4A+F75cF4vvvm7KGLuBBzGDpZGZmHn2elpZGWlpaaCeec455WCx+5LXX\nvC+i3aoVPPqotzKAUbRPPeWtDOedZ5Stl6jCDz+EXcA9KyuLrKyssIeL2GkrIh2BTFXtG3j9MKCF\nHbci8jLwrap+GHi9FOimqpuD9BfbO21//x3Gj4crr/RaEkthunc36Yjr1vVaEm/Jd+jn5HhXIGb9\nemjXzjivvbz7O3DA+Ja2bYNKlbyRYfly6N0b1qyJqBs3d9rOAZqLSBMRqQBcB4wr0mYccFNAsI7A\nzmDKPi5YsMDsmLP4i4oVzUoq0SlXzmwI9LIsaL16ZnyvTX2VKkHPnrB2rXcyuFwUKGKFr6q5wF3A\nROAXYIyqLhGR20RkcKDNl8AqEfkVeAW4I9JxfYsLGe9C5quvvL1tXrbM5AbxA36x1/oBr+ciOTn6\nNZ5DZfx4Y+byCpf1hSMbr1T1K1VtpaotVPWJwLFXVHVUoTZ3qWpzVW2jqvOcGNeX+MEZlc8JJ5iS\nbl7xyCOmkpEf8FrJ+YnzzjOmBIv33HMPXH+9a8PFZ7bMe+6B//s/b+KdW7aEjz/2R7TQ3r0mgdiO\nHabohts0bGiK06Smuj92UbZuNXJs3+5+1k5Vsx+hcWN3xy2OvDybPTTOSOxsmT/84E3s9/btJjPk\n6ae7P3YwTjzRbPRZsMD9sXNyzM7SZs3cHzsYtWqZxGFerGyzs6FLF/fHLQ6r7BOW+PzPe3X7fvAg\nDBvm/gqyJLyai3zTlteOucL8+KMpHu42fjLzec3Bg/7Y+JWgWIXvJPXrmypXfsJrhe8nvApD9ONc\neMUdd8Abb3gtxbGsX58wEVxW4cc7V14JTzzh/rj165v4YotV+IWZPduEhfqJBQvg7393f1wP7nTi\n02mrCrVrw/z5NhWtxVsOHDD+g61bvdvcUxzz50Pr1u6Vwty50zjyd+zwV/nN334zvq4dO9zzb2zc\nCBdeCAsXOtJdYjttReDTT727hbdY8tm4Ea64wn/KHkyCPTcd+rNnm9QnflL2YJz5tWrB0qXujTlr\nFjRq5N54AeJT4YMp2GzT0FqKcuSIWW27RbNm8M477o0XDm6bPmfNgvPPd2+8cHB7LmbO9GQu4lfh\nu83zzyeM4yem+e9/4bbbvJbCH7it5LZsgc6d3RsvHLy4+HmwI98qfKcYNcpfIYhFOXLEhsOBdegX\nxu25eOEF6NfPvfHCoXdvU/PXDQ4f9ixVtlX4TrB7tynh59YXpiyceSb8+mv0x9m6FZ5+OvrjlJVm\nzcyGsJwcryXxntNPNz6G7du9lsR7Tj8d/vxnd8ZavhxatIBq1dwZrxBW4TvBnDmmXJoX6QtC5cwz\n3VnNff+9SdrmV2wpzAKSk415yyp8d2nd2pR49ID4VviffAJ33x39cTxywISFW7fvfnbM5dOxoztz\n8fXX5s7Pzzz5pAlJtLiLR7vx41vhN2kCU6ZEfxyr8AuYOdM/6aGLo2tXyM2N/jj//KfZxWmx+IT4\n3HiVz+HDUKMGbNgQXXvZvHnGNnzSSdEbI1L27zfxxtu2mbTJ0eDIETPfa9eav4nMwYOmmtKWLVCl\nitfSeMvevcbs2b2715LELYm98Sqf8uWNbT3a1X3atfO3sgeoXBnOPTe6JoaFC81OykRX9mAWAaee\napU9mLu+jAyvpQiNjAyT8TZOiUjhi0gNEZkoIstE5GsRCbq1VURWi8gCEflJRNwNVu/Y0diVLZCV\nFd3UzXXrwrPPRq//WCIWzHxuEUtzMXeuCTyIFtnZsG9f9PovhUhX+A8Dk1W1FTAF+Fsx7fKANFVt\nq6odIhwzPLp08bZ+ZyJRr57JD2IxhV+6dvVaitDIzjYF3qNFLM1Fly4wbVr0+r/pJk83aEZkwxeR\npUA3Vd0sInWBLFU9NUi7VUB7Vd0WQp/O2fDB2JVF/JWj3hL/vP46XHqp8Zv4nZ9/hquuik5xmEOH\njC8jJyc2clvNmgW3324SyznN3r3mLvi33xzPreSWDb+2qm4GUNVNQO1i2ikwSUTmiMigCMcMj3Ll\noqfsfebwtoTJF18Yh340uOWW2FD2AGecYZz50diMNmeO8WXEgrIHk9xt5cro7E2YMcP4+zxMpFeu\ntAYiMgmoU/gQRoH/I0jz4jRgJ1XdKCKnYBT/ElWdXtyYmZmZR5+npaWRlpZWmpje8M47JtTxhRe8\nlsRSFj74wOw0vfVWryXxlqQk6NbNmF4GDHC27xNOMDWmY4Xy5eGCC+C776B/f2f7njoVHNJlWVlZ\nZGVlhX1epCadJRjbfL5J51tVLbGGnIhkAHtU9d/FvO+sSSea3HKLuWLfeafXkoTOnj0mgqRbN68l\n8Z7XXjOO7Hff9VoS73nuOVi0yOSESnQWLjT1NOrUKb1tOFxwATz2GPTo4Wy/uGfSGQcMDDz/I/BZ\nEEEqi8iJgedVgAuBRRGO6w+yshy7YrvG7t0mP3tennN9qppoqFjbop+WZv6HsbLAiCb5c2ExaUic\nVvaq0LKl55sSI1X4I4DeIrIM6Ak8ASAi9UTk80CbOsB0EfkJmAWMV9WJEY4bPuvXm00wTrF2rVkt\nRzPMMRo0aGCcaIscvOYuW2ZMI7EWf5+aan6IK1Z4LYn3nHEGZGbai1+0EIHRo81+GA8p1YZfEqq6\nHegV5PhGoF/g+Srg7EjGcYSRI42H/OGHnelv6lRjFvFzSuTi6NbNrObOOsuZ/vJtk7E2FyIFK1un\n8sm8+ab5e/PNzvTnFklJztvvLb4jvnfaFsbpW9Zly2J3q7jTcxGLpq18br/d2bu0Tz/1fBVnsRRH\nfOfSKcz27ZCSYsLPnKqpqRp7q1ow5q02bUw8cKRFm1Whfn2zO7FpU2fki1Vyc01t1CVLzN1kIvP7\n7zBokLnjcaswuNPk5cWM7DaXTlFq1jQ2Wyd3ucWisgeT7+aOO0xCtUhZtcrEFaekRN5XrPPTT0bR\nJ7qyB7OBadGimFGYx5GXZxIiuln/2AVi9L9RRvr2hQkTvJbCHwwf7kyR92bN4JdfYvfi5yQTJsBF\nF3kthT+I9blISjKJF7/+OvK+Xn/dLAZ8QGIp/CuvNLfcFmfxcOegr/jqK7j4Yq+liIysLJPvJVK+\n/DL25+Lii83niJQnnvDNnU7i2PAtlmizc6dJh+yUj8gLfvvNRCxt2QIVK5atj3wf0ZYtsZ3Dat06\naNsWNm8u++fIzjYBDevXR/Uu2Nrwo8Xy5bBggddSWJzmkUciN/eddFJsK3sw+X9OO82kFigrEyZA\nnz6xrewBGjUyAQmR+P3y73R8YvK0Cj9cnnnG+gHikVNOgbFjvZbCH/zhD/DZcZvmQ2fAABgxwjl5\nvOTKK2Hx4rKf/+mn0K+fc/JEiDXphENurtmpOn16fBR+PnLEJIgaOzZ8O/zvv5vsf7G6F6Eoa9ea\nvEgbN8b+Kj1S8veYrF/vG9tzTLJ5M7RqZSpoRausaABr0okG06ebIh/xoOzBpI4+cKBskQjffAP/\nCJYwNUZp3Nj8X7/91mtJvKdVKzMf2dleSxLb1KxpdqFHWdmHQ2Iq/EWLypZiYexYuPpq5+Xxkquv\nho8+Cv+8eJyLq64q21zMnWsKfcQTM2caxW8pO+XLG+e1j0hMk87OnWaj0K+/hh6meeiQceJ8/338\nrPDBRFK0agVr1kC1aqGds2+fWQH+/LMxccULa9dCr16wdGnopoyyfJcsFoexJp2SOOkk45gKJw96\nbq5x2MaTsgeT97tHDxgzJvRzPvrI5PaOJ2UP5iK2eHF4duv33zcRKVbZmw14HhbotpROYip8MHk+\nXn019HSwlSvHbzbBW2+F8eNDb//aa/FbJapcmAlk43kuwkHVRLTEa8jyunXw9NNeSxExiavwu3Qx\nq/apU72WxHv69DHhY6GgCn/6U+zvonSCWbOMSadnT68l8Z5vvzVx9+ef77Uk0aF6dZOOZN260tsu\nXQo7dkRfpjKQuApfBB56yNQ1TXSSkkLfJCNiFH6ihy4CPPooPPhgfIcuLl8OTz5ZertHHzW/J59s\nMHKcatXMndy//lVyO1WTmuKbb9yRK0wirWl7FZAJnAacq6rzimnXF3gGc4F5XVWL3ZXhahx+bq75\ngsbzD9YSPT7+2GyqKWsKglhgxw7jt5ozxyTKC8bUqaa+89Kl4ZvEYonNm80u5EWLzA7cYHz1Fdx/\nvwlocFGvuOW0XQhcDhRrFxGRJOB5oA/QGrheRE6NcFxnSE4u+Z+iChMnelb2rSxV6eMRT+Zh1Spz\nJ1NS7d8rr3Rd2bs+FzVqwD33mEew30FuLvz1r6Y8osvK3vW5qFMHBg+GIUOCv3/ggHlv+HDfLiIj\nkkpVl6lqNlDSlaUDkK2qa1T1MDAG6B/JuK7x2msmXj8315PhPVP4hw97M24xeDIPjRqZQiYvvOD+\n2CXgyVw8/LCxXb/xxvHvJSfDiy9CerrrYnkyFxkZJoR5zZrj3/v7303c/eWXuy9XiLhxSW4AFPZ0\nrMdcBPyLKrz1Fgwdamxx8XybWpTcXOjc2fyA777bHHvlFZMF8sYbvZXNTcqVM9+Bbt3MKn7QoPi1\nT5dGhQomhLlnT7NrtKhyj1dHbTAqVTKb0op+F+bNM5Fus2Z5I1eIlKrJRGQSUKfwIUCBv6tqGLF8\nMcChQ+YKfeSIWblkZcGp/rA+uUZyMnz4IVx2GTz1lHlduXJkybRilZYtTdbISy81Oc3zTRuJyBln\nmEic1au9lsR7gl3427Uzdnuf14ZwZKetiHwL3BfMaSsiHYFMVe0beP0woMU5bkXEX1t/LRaLJQYI\nxWnrpK2iuMHmAM1FpAmwEbgOuL64TkIR2mKxWCzhE5HTVkQuE5F1QEfgcxGZEDheT0Q+B1DVXOAu\nYCLwCzBGVZdEJrbFYrFYwsV3ydMsFovFEh18EywqIn1FZKmILBeRh7yWxytE5HUR2SwiP3sti9eI\nSEMRmSIiv4jIQhH5i9cyeYWIVBSR2SLyU2A+/p/XMnmNiCSJyDwRGee1LF4iIqtFZEHgu1FiPUZf\nrPADm7OWAz2BDRi7/3WqutRTwTxARDoDe4G3VfUsr+XxEhGpC9RV1fkiciLwI9A/Eb8XACJSWVX3\ni0gyMAMTKDHDa7m8QkSGAOcA1VT1Uq/l8QoRWQmco6qlJvDxywo/djdnOYyqTgf8mXnJZVR1k6rO\nDzzfCyzB7OtISFR1f+BpRcxvN2G/JyLSELgYeM1rWXyAEKIu94vCD7Y5K2F/2JbjEZEU4GxgtreS\neEfAhPETsAnIUtUIqmvHPE8DD2D2BCU6CkwSkTkiMqikhn5R+BZLsQTMOWOBewIr/YREVfNUtS3Q\nEOgqIt28lskLROQSYHPg7k8oObVLItBJVdth7njuDJiFg+IXhZ8DNC70umHgmCXBEZFyGGX/jqom\n4Hbf41HV3cAXQHuvZfGITsClAdv1B0B3EXnbY5k8Q1U3Bv7+BnxCCalr/KLwj27OEpEKmM1Ziex5\nt6uWAt4AFqvqs14L4iUiUktEqgeeVwJ6A/O9lcobVPURVW2sqs0wumKKqt7ktVxeICKVA3fAiEgV\n4EJgUXHtfaHw7easAkTkfeB7oKWIrBWRm72WyStEpBOQDvQIhJzNC9RWSETqAd8GbPizgHGq6s8q\nGxY3qQNML/S9GK+qE4tr7IuwTIvFYrFEH1+s8C0Wi8USfazCt1gslgTBKnyLxWJJEKzCt1gslgTB\nKoBPBzIAAAAjSURBVHyLxWJJEKzCt1gslgTBKnyLxWJJEKzCt1gslgTh/wMzpbzUqBHYPwAAAABJ\nRU5ErkJggg==\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure(1)\n", "plt.subplot(211)\n", "plt.plot(t1, f(t1), 'bo')\n", "plt.plot(t2, f(t2), 'k')\n", "\n", "plt.subplot(212)\n", "plt.plot(t2, np.cos(2*np.pi*t2), 'r--')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "ExecuteTime": { "end_time": "2017-05-13T09:23:13.850060", "start_time": "2017-05-13T09:23:13.255032" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAagAAAEaCAYAAABEsMO+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nxsQlC1DGGGPi0v8HxId0HNqReosAAAAASUVORK5CYII=\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import matplotlib.gridspec as gridspec\n", "import numpy as np\n", "\n", "t = np.arange(0., 5., 0.2)\n", "\n", "gs = gridspec.GridSpec(3, 3)\n", "ax1 = plt.subplot(gs[0, :])\n", "plt.plot(t, t**2, 'b-s')\n", "ax2 = plt.subplot(gs[1,:-1])\n", "plt.plot(t, t**2, 'g-s')\n", "ax3 = plt.subplot(gs[1:, -1])\n", "plt.plot(t, t**2, 'r-o')\n", "ax4 = plt.subplot(gs[-1,0])\n", "plt.plot(t, t**2, 'g-^')\n", "ax5 = plt.subplot(gs[-1,1])\n", "plt.plot(t, t**2, 'b-<')\n", "plt.tight_layout()" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:35:14.708956Z", "start_time": "2019-06-07T08:35:14.695191Z" }, "code_folding": [ 1 ], "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "\n", "def OLSRegressPlot(x,y,col,xlab,ylab):\n", " xx = sm.add_constant(x, prepend=True)\n", " res = sm.OLS(y,xx).fit()\n", " constant, beta = res.params\n", " r2 = res.rsquared\n", " lab = r'$\\beta = %.2f, \\,R^2 = %.2f$' %(beta,r2)\n", " plt.scatter(x,y,s=60,facecolors='none', edgecolors=col)\n", " plt.plot(x,constant + x*beta,\"red\",label=lab)\n", " plt.legend(loc = 'upper left',fontsize=16)\n", " plt.xlabel(xlab,fontsize=26)\n", " plt.ylabel(ylab,fontsize=26)" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:35:29.415424Z", "start_time": "2019-06-07T08:35:29.157757Z" } }, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "
" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "x = np.random.randn(50)\n", "y = np.random.randn(50) + 3*x\n", "pearsonr(x, y)\n", "fig = plt.figure(figsize=(10, 4),facecolor='white')\n", "OLSRegressPlot(x,y,'RoyalBlue',r'$x$',r'$y$')\n", "plt.show()\n" ] }, { "cell_type": "code", "execution_count": 206, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAbUAAAENCAYAAACIM/vFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig = plt.figure(figsize=(7, 4),facecolor='white')\n", "data = norm.rvs(10.0, 2.5, size=5000)\n", "mu, std = norm.fit(data)\n", "plt.hist(data, bins=25, normed=True, alpha=0.6, color='g')\n", "xmin, xmax = plt.xlim()\n", "x = np.linspace(xmin, xmax, 100)\n", "p = norm.pdf(x, mu, std)\n", "plt.plot(x, p, 'r', linewidth=2)\n", "title = r\"$\\mu = %.2f, \\, \\sigma = %.2f$\" % (mu, std)\n", "plt.title(title,size=16)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "ExecuteTime": { "end_time": "2019-06-07T08:36:49.566655Z", "start_time": "2019-06-07T08:36:49.550926Z" }, "slideshow": { "slide_type": "slide" } }, "outputs": [ { "data": { "text/html": [ "
\n", "\n", "\n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", " \n", "
abc
0000
1111
2248
33927
441664
\n", "
" ], "text/plain": [ " a b c\n", "0 0 0 0\n", "1 1 1 1\n", "2 2 4 8\n", "3 3 9 27\n", "4 4 16 64" ] }, "execution_count": 47, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import pandas as pd\n", "df = pd.read_csv('../data/data_write_to_file.txt', sep = '\\t', names = ['a', 'b', 'c'])\n", "df[:5]" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "ExecuteTime": { "end_time": "2017-05-11T22:21:09.150592", "start_time": "2017-05-11T22:21:08.103623" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "df.plot.line()\n", "plt.yscale('log')\n", "plt.ylabel('$values$', fontsize = 20)\n", "plt.xlabel('$index$', fontsize = 20)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "ExecuteTime": { "end_time": "2017-05-11T22:32:42.105831", "start_time": "2017-05-11T22:32:41.789998" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZgAAAEVCAYAAADdFfNTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "df.plot.scatter(x='a', y='b')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "ExecuteTime": { "end_time": "2017-05-11T22:26:59.893837", "start_time": "2017-05-11T22:26:59.528857" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXAAAAD9CAYAAAClQCyNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nwI0xpkBZgBtjTIH6/0bAIoOSa0aZAAAAAElFTkSuQmCC\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "df.plot.hexbin(x='a', y='b', gridsize=25)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "ExecuteTime": { "end_time": "2017-05-11T22:28:39.025521", "start_time": "2017-05-11T22:28:38.630099" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAakAAAEACAYAAAAJP4l9AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nmVlhOaTMzKywHFJmZlZY/x+N4lglf6vhYgAAAABJRU5ErkJggg==\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "df['a'].plot.kde()\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "ExecuteTime": { "end_time": "2017-05-11T22:37:06.791001", "start_time": "2017-05-11T22:37:06.226303" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/chengjun/anaconda/lib/python2.7/site-packages/ipykernel/__main__.py:1: FutureWarning: \n", "The default value for 'return_type' will change to 'axes' in a future release.\n", " To use the future behavior now, set return_type='axes'.\n", " To keep the previous behavior and silence this warning, set return_type='dict'.\n", " if __name__ == '__main__':\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXgAAAECCAYAAAD0JMwBAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhV8Qz/jrAAAAAElFTkSuQmCC\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "bp = df.boxplot()\n", "plt.yscale('log')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "ExecuteTime": { "end_time": "2017-05-11T22:39:16.120016", "start_time": "2017-05-11T22:39:15.913844" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAENCAYAAAACHGKEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYGY24FwIzMwGnAuBmdmAcyEwMxtw/x8re2OjkRejRgAAAABJRU5ErkJggg==\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "df['c'].diff().hist()\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "ExecuteTime": { "end_time": "2017-05-11T22:40:14.418943", "start_time": "2017-05-11T22:40:14.037032" }, "slideshow": { "slide_type": "subslide" } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZgAAAENCAYAAAAykHOlAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "df.plot.hist(stacked=True, bins=20)\n", "# plt.yscale('log')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "> To be a programmer is to develop a carefully managed relationship with error. There's no getting around it. You either make your accommodations with failure, or the work will become intolerable.\n", "\n", "Ellen Ullman (an American computer programmer and author)\n" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "## This is the end.\n", "> Thank you for your attention." ] } ], "metadata": { "celltoolbar": "Slideshow", "kernel_info": { "name": "python3" }, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.6" }, "latex_envs": { "LaTeX_envs_menu_present": true, "autoclose": false, "autocomplete": true, "bibliofile": "biblio.bib", "cite_by": "apalike", "current_citInitial": 1, "eqLabelWithNumbers": true, "eqNumInitial": 0, "hotkeys": { "equation": "Ctrl-E", "itemize": "Ctrl-I" }, "labels_anchors": false, "latex_user_defs": false, "report_style_numbering": false, "user_envs_cfg": false }, "nteract": { "version": "0.15.0" }, "toc": { "base_numbering": 1, "nav_menu": {}, "number_sections": false, "sideBar": false, "skip_h1_title": false, "title_cell": "Table of Contents", "title_sidebar": "Contents", "toc_cell": false, "toc_position": { "height": "48px", "left": "1263px", "top": "0px", "width": "159px" }, "toc_section_display": false, "toc_window_display": false }, "toc_position": { "height": "339px", "left": "1245.99px", "right": "20px", "top": "120px", "width": "196px" } }, "nbformat": 4, "nbformat_minor": 1 }